1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.cache.mmu 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.experimental.ExtModule 22import chisel3.util._ 23import xiangshan._ 24import xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 25import utils._ 26import utility._ 27import freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp} 28import freechips.rocketchip.tilelink._ 29import xiangshan.backend.fu.{PMP, PMPChecker, PMPReqBundle, PMPRespBundle} 30import xiangshan.backend.fu.util.HasCSRConst 31import difftest._ 32 33class L2TLB()(implicit p: Parameters) extends LazyModule with HasPtwConst { 34 override def shouldBeInlined: Boolean = false 35 36 val node = TLClientNode(Seq(TLMasterPortParameters.v1( 37 clients = Seq(TLMasterParameters.v1( 38 "ptw", 39 sourceId = IdRange(0, MemReqWidth) 40 )), 41 requestFields = Seq(ReqSourceField()) 42 ))) 43 44 lazy val module = new L2TLBImp(this) 45} 46 47class L2TLBImp(outer: L2TLB)(implicit p: Parameters) extends PtwModule(outer) with HasCSRConst with HasPerfEvents { 48 49 val (mem, edge) = outer.node.out.head 50 51 val io = IO(new L2TLBIO) 52 val difftestIO = IO(new Bundle() { 53 val ptwResp = Output(Bool()) 54 val ptwAddr = Output(UInt(64.W)) 55 val ptwData = Output(Vec(4, UInt(64.W))) 56 }) 57 58 /* Ptw processes multiple requests 59 * Divide Ptw procedure into two stages: cache access ; mem access if cache miss 60 * miss queue itlb dtlb 61 * | | | 62 * ------arbiter------ 63 * | 64 * l1 - l2 - l3 - sp 65 * | 66 * ------------------------------------------- 67 * miss | queue | hit 68 * [][][][][][] | 69 * | | 70 * state machine accessing mem | 71 * | | 72 * ---------------arbiter--------------------- 73 * | | 74 * itlb dtlb 75 */ 76 77 difftestIO <> DontCare 78 79 val sfence_tmp = DelayN(io.sfence, 1) 80 val csr_tmp = DelayN(io.csr.tlb, 1) 81 val sfence_dup = Seq.fill(9)(RegNext(sfence_tmp)) 82 val csr_dup = Seq.fill(8)(RegNext(csr_tmp)) // TODO: add csr_modified? 83 val satp = csr_dup(0).satp 84 val vsatp = csr_dup(0).vsatp 85 val hgatp = csr_dup(0).hgatp 86 val priv = csr_dup(0).priv 87 val flush = sfence_dup(0).valid || satp.changed || vsatp.changed || hgatp.changed 88 89 val pmp = Module(new PMP()) 90 val pmp_check = VecInit(Seq.fill(3)(Module(new PMPChecker(lgMaxSize = 3, sameCycle = true)).io)) 91 pmp.io.distribute_csr := io.csr.distribute_csr 92 pmp_check.foreach(_.check_env.apply(ModeS, pmp.io.pmp, pmp.io.pma)) 93 94 val missQueue = Module(new L2TlbMissQueue) 95 val cache = Module(new PtwCache) 96 val ptw = Module(new PTW) 97 val hptw = Module(new HPTW) 98 val llptw = Module(new LLPTW) 99 val blockmq = Module(new BlockHelper(3)) 100 val arb1 = Module(new Arbiter(new PtwReq, PtwWidth)) 101 val arb2 = Module(new Arbiter(new L2TlbWithHptwIdBundle, ((if (l2tlbParams.enablePrefetch) 4 else 3) + (if(HasHExtension) 1 else 0)))) 102 val hptw_req_arb = Module(new Arbiter(new Bundle { 103 val id = UInt(log2Up(l2tlbParams.llptwsize).W) 104 val source = UInt(bSourceWidth.W) 105 val gvpn = UInt(vpnLen.W) 106 }, 2)) 107 val hptw_resp_arb = Module(new Arbiter(new Bundle { 108 val resp = new HptwResp() 109 val id = UInt(log2Up(l2tlbParams.llptwsize).W) 110 }, 2)) 111 val outArb = (0 until PtwWidth).map(i => Module(new Arbiter(new Bundle { 112 val s2xlate = UInt(2.W) 113 val s1 = new PtwSectorResp () 114 val s2 = new HptwResp() 115 }, 1)).io) 116 val mergeArb = (0 until PtwWidth).map(i => Module(new Arbiter(new Bundle { 117 val s2xlate = UInt(2.W) 118 val s1 = new PtwMergeResp() 119 val s2 = new HptwResp() 120 }, 3)).io) 121 val outArbCachePort = 0 122 val outArbFsmPort = 1 123 val outArbMqPort = 2 124 125 // hptw arb input port 126 val InHptwArbPTWPort = 0 127 val InHptwArbLLPTWPort = 1 128 hptw_req_arb.io.in(InHptwArbPTWPort).valid := ptw.io.hptw.req.valid 129 hptw_req_arb.io.in(InHptwArbPTWPort).bits.gvpn := ptw.io.hptw.req.bits.gvpn 130 hptw_req_arb.io.in(InHptwArbPTWPort).bits.id := ptw.io.hptw.req.bits.id 131 hptw_req_arb.io.in(InHptwArbPTWPort).bits.source := ptw.io.hptw.req.bits.source 132 ptw.io.hptw.req.ready := hptw_req_arb.io.in(InHptwArbPTWPort).ready 133 134 hptw_req_arb.io.in(InHptwArbLLPTWPort).valid := llptw.io.hptw.req.valid 135 hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.gvpn := llptw.io.hptw.req.bits.gvpn 136 hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.id := llptw.io.hptw.req.bits.id 137 hptw_req_arb.io.in(InHptwArbLLPTWPort).bits.source := llptw.io.hptw.req.bits.source 138 llptw.io.hptw.req.ready := hptw_req_arb.io.in(InHptwArbLLPTWPort).ready 139 140 // arb2 input port 141 val InArbHPTWPort = 0 142 val InArbPTWPort = 1 143 val InArbMissQueuePort = 2 144 val InArbTlbPort = 3 145 val InArbPrefetchPort = 4 146 // NOTE: when cache out but miss and ptw doesnt accept, 147 arb1.io.in <> VecInit(io.tlb.map(_.req(0))) 148 149 150 arb2.io.in(InArbPTWPort).valid := ptw.io.llptw.valid 151 arb2.io.in(InArbPTWPort).bits.req_info := ptw.io.llptw.bits.req_info 152 arb2.io.in(InArbPTWPort).bits.isHptwReq := false.B 153 arb2.io.in(InArbPTWPort).bits.isLLptw := false.B 154 arb2.io.in(InArbPTWPort).bits.hptwId := DontCare 155 ptw.io.llptw.ready := arb2.io.in(InArbPTWPort).ready 156 block_decoupled(missQueue.io.out, arb2.io.in(InArbMissQueuePort), Mux(missQueue.io.out.bits.isLLptw, !llptw.io.in.ready, !ptw.io.req.ready)) 157 158 arb2.io.in(InArbTlbPort).valid := arb1.io.out.valid 159 arb2.io.in(InArbTlbPort).bits.req_info.vpn := arb1.io.out.bits.vpn 160 arb2.io.in(InArbTlbPort).bits.req_info.s2xlate := arb1.io.out.bits.s2xlate 161 arb2.io.in(InArbTlbPort).bits.req_info.source := arb1.io.chosen 162 arb2.io.in(InArbTlbPort).bits.isHptwReq := false.B 163 arb2.io.in(InArbTlbPort).bits.isLLptw := false.B 164 arb2.io.in(InArbTlbPort).bits.hptwId := DontCare 165 arb1.io.out.ready := arb2.io.in(InArbTlbPort).ready 166 167 arb2.io.in(InArbHPTWPort).valid := hptw_req_arb.io.out.valid 168 arb2.io.in(InArbHPTWPort).bits.req_info.vpn := hptw_req_arb.io.out.bits.gvpn 169 arb2.io.in(InArbHPTWPort).bits.req_info.s2xlate := onlyStage2 170 arb2.io.in(InArbHPTWPort).bits.req_info.source := hptw_req_arb.io.out.bits.source 171 arb2.io.in(InArbHPTWPort).bits.isHptwReq := true.B 172 arb2.io.in(InArbHPTWPort).bits.isLLptw := false.B 173 arb2.io.in(InArbHPTWPort).bits.hptwId := hptw_req_arb.io.out.bits.id 174 hptw_req_arb.io.out.ready := arb2.io.in(InArbHPTWPort).ready 175 val hartId = p(XSCoreParamsKey).HartId 176 if (l2tlbParams.enablePrefetch) { 177 val prefetch = Module(new L2TlbPrefetch()) 178 val recv = cache.io.resp 179 // NOTE: 1. prefetch doesn't gen prefetch 2. req from mq doesn't gen prefetch 180 // NOTE: 1. miss req gen prefetch 2. hit but prefetched gen prefetch 181 prefetch.io.in.valid := recv.fire && !from_pre(recv.bits.req_info.source) && (!recv.bits.hit || 182 recv.bits.prefetch) && recv.bits.isFirst 183 prefetch.io.in.bits.vpn := recv.bits.req_info.vpn 184 prefetch.io.sfence := sfence_dup(0) 185 prefetch.io.csr := csr_dup(0) 186 arb2.io.in(InArbPrefetchPort) <> prefetch.io.out 187 188 val isWriteL2TlbPrefetchTable = Constantin.createRecord(s"isWriteL2TlbPrefetchTable$hartId") 189 val L2TlbPrefetchTable = ChiselDB.createTable(s"L2TlbPrefetch_hart$hartId", new L2TlbPrefetchDB) 190 val L2TlbPrefetchDB = Wire(new L2TlbPrefetchDB) 191 L2TlbPrefetchDB.vpn := prefetch.io.out.bits.req_info.vpn 192 L2TlbPrefetchTable.log(L2TlbPrefetchDB, isWriteL2TlbPrefetchTable.orR && prefetch.io.out.fire, "L2TlbPrefetch", clock, reset) 193 } 194 arb2.io.out.ready := cache.io.req.ready 195 196 197 val mq_arb = Module(new Arbiter(new L2TlbWithHptwIdBundle, 2)) 198 mq_arb.io.in(0).valid := cache.io.resp.valid && !cache.io.resp.bits.hit && 199 !from_pre(cache.io.resp.bits.req_info.source) && !cache.io.resp.bits.isHptwReq && // hptw reqs are not sent to missqueue 200 (cache.io.resp.bits.bypassed || ( 201 ((!cache.io.resp.bits.toFsm.l2Hit || cache.io.resp.bits.toFsm.stage1Hit) && !cache.io.resp.bits.isHptwReq && (cache.io.resp.bits.isFirst || !ptw.io.req.ready)) // send to ptw, is first or ptw is busy; 202 || (cache.io.resp.bits.toFsm.l2Hit && !llptw.io.in.ready) // send to llptw, llptw is full 203 )) 204 205 mq_arb.io.in(0).bits.req_info := cache.io.resp.bits.req_info 206 mq_arb.io.in(0).bits.isHptwReq := false.B 207 mq_arb.io.in(0).bits.hptwId := DontCare 208 mq_arb.io.in(0).bits.isLLptw := cache.io.resp.bits.toFsm.l2Hit 209 mq_arb.io.in(1).bits.req_info := llptw.io.cache.bits 210 mq_arb.io.in(1).bits.isHptwReq := false.B 211 mq_arb.io.in(1).bits.hptwId := DontCare 212 mq_arb.io.in(1).bits.isLLptw := false.B 213 mq_arb.io.in(1).valid := llptw.io.cache.valid 214 llptw.io.cache.ready := mq_arb.io.in(1).ready 215 missQueue.io.in <> mq_arb.io.out 216 missQueue.io.sfence := sfence_dup(6) 217 missQueue.io.csr := csr_dup(5) 218 219 blockmq.io.start := missQueue.io.out.fire 220 blockmq.io.enable := ptw.io.req.fire 221 222 llptw.io.in.valid := cache.io.resp.valid && 223 !cache.io.resp.bits.hit && 224 cache.io.resp.bits.toFsm.l2Hit && 225 !cache.io.resp.bits.bypassed && 226 !cache.io.resp.bits.isHptwReq 227 llptw.io.in.bits.req_info := cache.io.resp.bits.req_info 228 llptw.io.in.bits.ppn := cache.io.resp.bits.toFsm.ppn 229 llptw.io.sfence := sfence_dup(1) 230 llptw.io.csr := csr_dup(1) 231 val llptw_stage1 = Reg(Vec(l2tlbParams.llptwsize, new PtwMergeResp())) 232 when(llptw.io.in.fire){ 233 llptw_stage1(llptw.io.mem.enq_ptr) := cache.io.resp.bits.stage1 234 } 235 236 cache.io.req.valid := arb2.io.out.valid 237 cache.io.req.bits.req_info := arb2.io.out.bits.req_info 238 cache.io.req.bits.isFirst := (arb2.io.chosen =/= InArbMissQueuePort.U && !arb2.io.out.bits.isHptwReq) 239 cache.io.req.bits.isHptwReq := arb2.io.out.bits.isHptwReq 240 cache.io.req.bits.hptwId := arb2.io.out.bits.hptwId 241 cache.io.req.bits.bypassed.map(_ := false.B) 242 cache.io.sfence := sfence_dup(2) 243 cache.io.csr := csr_dup(2) 244 cache.io.sfence_dup.zip(sfence_dup.drop(2).take(4)).map(s => s._1 := s._2) 245 cache.io.csr_dup.zip(csr_dup.drop(2).take(3)).map(c => c._1 := c._2) 246 cache.io.resp.ready := MuxCase(mq_arb.io.in(0).ready || ptw.io.req.ready, Seq( 247 (!cache.io.resp.bits.hit && cache.io.resp.bits.isHptwReq) -> hptw.io.req.ready, 248 (cache.io.resp.bits.hit && cache.io.resp.bits.isHptwReq) -> hptw_resp_arb.io.in(HptwRespArbCachePort).ready, 249 cache.io.resp.bits.hit -> outReady(cache.io.resp.bits.req_info.source, outArbCachePort), 250 (cache.io.resp.bits.toFsm.l2Hit && !cache.io.resp.bits.bypassed && llptw.io.in.ready) -> llptw.io.in.ready, 251 (cache.io.resp.bits.bypassed || cache.io.resp.bits.isFirst) -> mq_arb.io.in(0).ready 252 )) 253 254 // NOTE: missQueue req has higher priority 255 ptw.io.req.valid := cache.io.resp.valid && !cache.io.resp.bits.hit && !cache.io.resp.bits.toFsm.l2Hit && 256 !cache.io.resp.bits.bypassed && 257 !cache.io.resp.bits.isFirst && 258 !cache.io.resp.bits.isHptwReq 259 ptw.io.req.bits.req_info := cache.io.resp.bits.req_info 260 ptw.io.req.bits.l1Hit := cache.io.resp.bits.toFsm.l1Hit 261 ptw.io.req.bits.ppn := cache.io.resp.bits.toFsm.ppn 262 ptw.io.req.bits.stage1Hit := cache.io.resp.bits.toFsm.stage1Hit 263 ptw.io.req.bits.stage1 := cache.io.resp.bits.stage1 264 ptw.io.sfence := sfence_dup(7) 265 ptw.io.csr := csr_dup(6) 266 ptw.io.resp.ready := outReady(ptw.io.resp.bits.source, outArbFsmPort) 267 268 hptw.io.req.valid := cache.io.resp.valid && !cache.io.resp.bits.hit && cache.io.resp.bits.isHptwReq 269 hptw.io.req.bits.gvpn := cache.io.resp.bits.req_info.vpn 270 hptw.io.req.bits.id := cache.io.resp.bits.toHptw.id 271 hptw.io.req.bits.source := cache.io.resp.bits.req_info.source 272 hptw.io.req.bits.l1Hit := cache.io.resp.bits.toHptw.l1Hit 273 hptw.io.req.bits.l2Hit := cache.io.resp.bits.toHptw.l2Hit 274 hptw.io.req.bits.ppn := cache.io.resp.bits.toHptw.ppn 275 hptw.io.req.bits.bypassed := cache.io.resp.bits.toHptw.bypassed 276 hptw.io.sfence := sfence_dup(8) 277 hptw.io.csr := csr_dup(7) 278 // mem req 279 def blockBytes_align(addr: UInt) = { 280 Cat(addr(PAddrBits - 1, log2Up(l2tlbParams.blockBytes)), 0.U(log2Up(l2tlbParams.blockBytes).W)) 281 } 282 def addr_low_from_vpn(vpn: UInt) = { 283 vpn(log2Ceil(l2tlbParams.blockBytes)-log2Ceil(XLEN/8)-1, 0) 284 } 285 def addr_low_from_paddr(paddr: UInt) = { 286 paddr(log2Up(l2tlbParams.blockBytes)-1, log2Up(XLEN/8)) 287 } 288 def from_llptw(id: UInt) = { 289 id < l2tlbParams.llptwsize.U 290 } 291 def from_ptw(id: UInt) = { 292 id === l2tlbParams.llptwsize.U 293 } 294 def from_hptw(id: UInt) = { 295 id === l2tlbParams.llptwsize.U + 1.U 296 } 297 val waiting_resp = RegInit(VecInit(Seq.fill(MemReqWidth)(false.B))) 298 val flush_latch = RegInit(VecInit(Seq.fill(MemReqWidth)(false.B))) 299 val hptw_bypassed = RegInit(false.B) 300 for (i <- waiting_resp.indices) { 301 assert(!flush_latch(i) || waiting_resp(i)) // when sfence_latch wait for mem resp, waiting_resp should be true 302 } 303 304 val llptw_out = llptw.io.out 305 val llptw_mem = llptw.io.mem 306 llptw_mem.req_mask := waiting_resp.take(l2tlbParams.llptwsize) 307 ptw.io.mem.mask := waiting_resp.apply(l2tlbParams.llptwsize) 308 hptw.io.mem.mask := waiting_resp.apply(l2tlbParams.llptwsize + 1) 309 310 val mem_arb = Module(new Arbiter(new L2TlbMemReqBundle(), 3)) 311 mem_arb.io.in(0) <> ptw.io.mem.req 312 mem_arb.io.in(1) <> llptw_mem.req 313 mem_arb.io.in(2) <> hptw.io.mem.req 314 mem_arb.io.out.ready := mem.a.ready && !flush 315 316 // assert, should not send mem access at same addr for twice. 317 val last_resp_vpn = RegEnable(cache.io.refill.bits.req_info_dup(0).vpn, cache.io.refill.valid) 318 val last_resp_s2xlate = RegEnable(cache.io.refill.bits.req_info_dup(0).s2xlate, cache.io.refill.valid) 319 val last_resp_level = RegEnable(cache.io.refill.bits.level_dup(0), cache.io.refill.valid) 320 val last_resp_v = RegInit(false.B) 321 val last_has_invalid = !Cat(cache.io.refill.bits.ptes.asTypeOf(Vec(blockBits/XLEN, UInt(XLEN.W))).map(a => a(0))).andR || cache.io.refill.bits.sel_pte_dup(0).asTypeOf(new PteBundle).isAf() 322 when (cache.io.refill.valid) { last_resp_v := !last_has_invalid} 323 when (flush) { last_resp_v := false.B } 324 XSError(last_resp_v && cache.io.refill.valid && 325 (cache.io.refill.bits.req_info_dup(0).vpn === last_resp_vpn) && 326 (cache.io.refill.bits.level_dup(0) === last_resp_level) && 327 (cache.io.refill.bits.req_info_dup(0).s2xlate === last_resp_s2xlate), 328 "l2tlb should not access mem at same addr for twice") 329 // ATTENTION: this may wrongly assert when: a ptes is l2, last part is valid, 330 // but the current part is invalid, so one more mem access happened 331 // If this happened, remove the assert. 332 333 val req_addr_low = Reg(Vec(MemReqWidth, UInt((log2Up(l2tlbParams.blockBytes)-log2Up(XLEN/8)).W))) 334 335 when (llptw.io.in.fire) { 336 // when enq miss queue, set the req_addr_low to receive the mem resp data part 337 req_addr_low(llptw_mem.enq_ptr) := addr_low_from_vpn(llptw.io.in.bits.req_info.vpn) 338 } 339 when (mem_arb.io.out.fire) { 340 req_addr_low(mem_arb.io.out.bits.id) := addr_low_from_paddr(mem_arb.io.out.bits.addr) 341 waiting_resp(mem_arb.io.out.bits.id) := true.B 342 hptw_bypassed := from_hptw(mem_arb.io.out.bits.id) && mem_arb.io.out.bits.hptw_bypassed 343 } 344 // mem read 345 val memRead = edge.Get( 346 fromSource = mem_arb.io.out.bits.id, 347 // toAddress = memAddr(log2Up(CacheLineSize / 2 / 8) - 1, 0), 348 toAddress = blockBytes_align(mem_arb.io.out.bits.addr), 349 lgSize = log2Up(l2tlbParams.blockBytes).U 350 )._2 351 mem.a.bits := memRead 352 mem.a.valid := mem_arb.io.out.valid && !flush 353 mem.a.bits.user.lift(ReqSourceKey).foreach(_ := MemReqSource.PTW.id.U) 354 mem.d.ready := true.B 355 // mem -> data buffer 356 val refill_data = Reg(Vec(blockBits / l1BusDataWidth, UInt(l1BusDataWidth.W))) 357 val refill_helper = edge.firstlastHelper(mem.d.bits, mem.d.fire) 358 val mem_resp_done = refill_helper._3 359 val mem_resp_from_llptw = from_llptw(mem.d.bits.source) 360 val mem_resp_from_ptw = from_ptw(mem.d.bits.source) 361 val mem_resp_from_hptw = from_hptw(mem.d.bits.source) 362 when (mem.d.valid) { 363 assert(mem.d.bits.source < MemReqWidth.U) 364 refill_data(refill_helper._4) := mem.d.bits.data 365 } 366 // refill_data_tmp is the wire fork of refill_data, but one cycle earlier 367 val refill_data_tmp = WireInit(refill_data) 368 refill_data_tmp(refill_helper._4) := mem.d.bits.data 369 370 // save only one pte for each id 371 // (miss queue may can't resp to tlb with low latency, it should have highest priority, but diffcult to design cache) 372 val resp_pte = VecInit((0 until MemReqWidth).map(i => 373 if (i == l2tlbParams.llptwsize + 1) {RegEnable(get_part(refill_data_tmp, req_addr_low(i)), mem_resp_done && mem_resp_from_hptw) } 374 else if (i == l2tlbParams.llptwsize) {RegEnable(get_part(refill_data_tmp, req_addr_low(i)), mem_resp_done && mem_resp_from_ptw) } 375 else { DataHoldBypass(get_part(refill_data, req_addr_low(i)), llptw_mem.buffer_it(i)) } 376 // llptw could not use refill_data_tmp, because enq bypass's result works at next cycle 377 )) 378 379 // save eight ptes for each id when sector tlb 380 // (miss queue may can't resp to tlb with low latency, it should have highest priority, but diffcult to design cache) 381 val resp_pte_sector = VecInit((0 until MemReqWidth).map(i => 382 if (i == l2tlbParams.llptwsize + 1) {RegEnable(refill_data_tmp, mem_resp_done && mem_resp_from_hptw) } 383 else if (i == l2tlbParams.llptwsize) {RegEnable(refill_data_tmp, mem_resp_done && mem_resp_from_ptw) } 384 else { DataHoldBypass(refill_data, llptw_mem.buffer_it(i)) } 385 // llptw could not use refill_data_tmp, because enq bypass's result works at next cycle 386 )) 387 388 // mem -> llptw 389 llptw_mem.resp.valid := mem_resp_done && mem_resp_from_llptw 390 llptw_mem.resp.bits.id := DataHoldBypass(mem.d.bits.source, mem.d.valid) 391 llptw_mem.resp.bits.value := DataHoldBypass(refill_data_tmp.asUInt, mem.d.valid) 392 // mem -> ptw 393 ptw.io.mem.resp.valid := mem_resp_done && mem_resp_from_ptw 394 ptw.io.mem.resp.bits := resp_pte.apply(l2tlbParams.llptwsize) 395 // mem -> hptw 396 hptw.io.mem.resp.valid := mem_resp_done && mem_resp_from_hptw 397 hptw.io.mem.resp.bits := resp_pte.apply(l2tlbParams.llptwsize + 1) 398 // mem -> cache 399 val refill_from_llptw = mem_resp_from_llptw 400 val refill_from_ptw = mem_resp_from_ptw 401 val refill_from_hptw = mem_resp_from_hptw 402 val refill_level = Mux(refill_from_llptw, 2.U, Mux(refill_from_ptw, RegEnable(ptw.io.refill.level, 0.U, ptw.io.mem.req.fire), RegEnable(hptw.io.refill.level, 0.U, hptw.io.mem.req.fire))) 403 val refill_valid = mem_resp_done && !flush && !flush_latch(mem.d.bits.source) && !hptw_bypassed 404 405 cache.io.refill.valid := GatedValidRegNext(refill_valid, false.B) 406 cache.io.refill.bits.ptes := refill_data.asUInt 407 cache.io.refill.bits.req_info_dup.map(_ := RegEnable(Mux(refill_from_llptw, llptw_mem.refill, Mux(refill_from_ptw, ptw.io.refill.req_info, hptw.io.refill.req_info)), refill_valid)) 408 cache.io.refill.bits.level_dup.map(_ := RegEnable(refill_level, refill_valid)) 409 cache.io.refill.bits.levelOH(refill_level, refill_valid) 410 cache.io.refill.bits.sel_pte_dup.map(_ := RegEnable(sel_data(refill_data_tmp.asUInt, req_addr_low(mem.d.bits.source)), refill_valid)) 411 412 if (env.EnableDifftest) { 413 val difftest_ptw_addr = RegInit(VecInit(Seq.fill(MemReqWidth)(0.U(PAddrBits.W)))) 414 when (mem.a.valid) { 415 difftest_ptw_addr(mem.a.bits.source) := mem.a.bits.address 416 } 417 418 val difftest = DifftestModule(new DiffRefillEvent, dontCare = true) 419 difftest.coreid := io.hartId 420 difftest.index := 2.U 421 difftest.valid := cache.io.refill.valid 422 difftest.addr := difftest_ptw_addr(RegEnable(mem.d.bits.source, mem.d.valid)) 423 difftest.data := refill_data.asTypeOf(difftest.data) 424 difftest.idtfr := DontCare 425 } 426 427 if (env.EnableDifftest) { 428 for (i <- 0 until PtwWidth) { 429 val difftest = DifftestModule(new DiffL2TLBEvent) 430 difftest.coreid := io.hartId 431 difftest.valid := io.tlb(i).resp.fire && !io.tlb(i).resp.bits.s1.af && !io.tlb(i).resp.bits.s2.gaf 432 difftest.index := i.U 433 difftest.vpn := Cat(io.tlb(i).resp.bits.s1.entry.tag, 0.U(sectortlbwidth.W)) 434 for (j <- 0 until tlbcontiguous) { 435 difftest.ppn(j) := Cat(io.tlb(i).resp.bits.s1.entry.ppn, io.tlb(i).resp.bits.s1.ppn_low(j)) 436 difftest.valididx(j) := io.tlb(i).resp.bits.s1.valididx(j) 437 difftest.pteidx(j) := io.tlb(i).resp.bits.s1.pteidx(j) 438 } 439 difftest.perm := io.tlb(i).resp.bits.s1.entry.perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt 440 difftest.level := io.tlb(i).resp.bits.s1.entry.level.getOrElse(0.U.asUInt) 441 difftest.pf := io.tlb(i).resp.bits.s1.pf 442 difftest.satp := Cat(io.csr.tlb.satp.mode, io.csr.tlb.satp.asid, io.csr.tlb.satp.ppn) 443 difftest.vsatp := Cat(io.csr.tlb.vsatp.mode, io.csr.tlb.vsatp.asid, io.csr.tlb.vsatp.ppn) 444 difftest.hgatp := Cat(io.csr.tlb.hgatp.mode, io.csr.tlb.hgatp.asid, io.csr.tlb.hgatp.ppn) 445 difftest.gvpn := io.tlb(i).resp.bits.s2.entry.tag 446 difftest.g_perm := io.tlb(i).resp.bits.s2.entry.perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt 447 difftest.g_level := io.tlb(i).resp.bits.s2.entry.level.getOrElse(0.U.asUInt) 448 difftest.s2ppn := io.tlb(i).resp.bits.s2.entry.ppn 449 difftest.gpf := io.tlb(i).resp.bits.s2.gpf 450 difftest.s2xlate := io.tlb(i).resp.bits.s2xlate 451 } 452 } 453 454 // pmp 455 pmp_check(0).req <> ptw.io.pmp.req 456 ptw.io.pmp.resp <> pmp_check(0).resp 457 pmp_check(1).req <> llptw.io.pmp.req 458 llptw.io.pmp.resp <> pmp_check(1).resp 459 pmp_check(2).req <> hptw.io.pmp.req 460 hptw.io.pmp.resp <> pmp_check(2).resp 461 462 llptw_out.ready := outReady(llptw_out.bits.req_info.source, outArbMqPort) 463 464 // hptw and page cache -> ptw and llptw 465 val HptwRespArbCachePort = 0 466 val HptwRespArbHptw = 1 467 hptw_resp_arb.io.in(HptwRespArbCachePort).valid := cache.io.resp.valid && cache.io.resp.bits.hit && cache.io.resp.bits.isHptwReq 468 hptw_resp_arb.io.in(HptwRespArbCachePort).bits.id := cache.io.resp.bits.toHptw.id 469 hptw_resp_arb.io.in(HptwRespArbCachePort).bits.resp := cache.io.resp.bits.toHptw.resp 470 hptw_resp_arb.io.in(HptwRespArbHptw).valid := hptw.io.resp.valid 471 hptw_resp_arb.io.in(HptwRespArbHptw).bits.id := hptw.io.resp.bits.id 472 hptw_resp_arb.io.in(HptwRespArbHptw).bits.resp := hptw.io.resp.bits.resp 473 hptw.io.resp.ready := hptw_resp_arb.io.in(HptwRespArbHptw).ready 474 475 ptw.io.hptw.resp.valid := hptw_resp_arb.io.out.valid && hptw_resp_arb.io.out.bits.id === FsmReqID.U 476 ptw.io.hptw.resp.bits.h_resp := hptw_resp_arb.io.out.bits.resp 477 llptw.io.hptw.resp.valid := hptw_resp_arb.io.out.valid && hptw_resp_arb.io.out.bits.id =/= FsmReqID.U 478 llptw.io.hptw.resp.bits.id := hptw_resp_arb.io.out.bits.id 479 llptw.io.hptw.resp.bits.h_resp := hptw_resp_arb.io.out.bits.resp 480 hptw_resp_arb.io.out.ready := true.B 481 482 // Timing: Maybe need to do some optimization or even add one more cycle 483 for (i <- 0 until PtwWidth) { 484 mergeArb(i).in(outArbCachePort).valid := cache.io.resp.valid && cache.io.resp.bits.hit && cache.io.resp.bits.req_info.source===i.U && !cache.io.resp.bits.isHptwReq 485 mergeArb(i).in(outArbCachePort).bits.s2xlate := cache.io.resp.bits.req_info.s2xlate 486 mergeArb(i).in(outArbCachePort).bits.s1 := cache.io.resp.bits.stage1 487 mergeArb(i).in(outArbCachePort).bits.s2 := cache.io.resp.bits.toHptw.resp 488 mergeArb(i).in(outArbFsmPort).valid := ptw.io.resp.valid && ptw.io.resp.bits.source===i.U 489 mergeArb(i).in(outArbFsmPort).bits.s2xlate := ptw.io.resp.bits.s2xlate 490 mergeArb(i).in(outArbFsmPort).bits.s1 := ptw.io.resp.bits.resp 491 mergeArb(i).in(outArbFsmPort).bits.s2 := ptw.io.resp.bits.h_resp 492 mergeArb(i).in(outArbMqPort).valid := llptw_out.valid && llptw_out.bits.req_info.source===i.U 493 mergeArb(i).in(outArbMqPort).bits.s2xlate := llptw_out.bits.req_info.s2xlate 494 mergeArb(i).in(outArbMqPort).bits.s1 := Mux(llptw_out.bits.first_s2xlate_fault, llptw_stage1(llptw_out.bits.id), contiguous_pte_to_merge_ptwResp(resp_pte_sector(llptw_out.bits.id).asUInt, llptw_out.bits.req_info.vpn, llptw_out.bits.af, true, s2xlate = llptw_out.bits.req_info.s2xlate)) 495 mergeArb(i).in(outArbMqPort).bits.s2 := llptw_out.bits.h_resp 496 mergeArb(i).out.ready := outArb(i).in(0).ready 497 } 498 499 for (i <- 0 until PtwWidth) { 500 outArb(i).in(0).valid := mergeArb(i).out.valid 501 outArb(i).in(0).bits.s2xlate := mergeArb(i).out.bits.s2xlate 502 outArb(i).in(0).bits.s1 := merge_ptwResp_to_sector_ptwResp(mergeArb(i).out.bits.s1) 503 outArb(i).in(0).bits.s2 := mergeArb(i).out.bits.s2 504 } 505 506 // io.tlb.map(_.resp) <> outArb.map(_.out) 507 io.tlb.map(_.resp).zip(outArb.map(_.out)).map{ 508 case (resp, out) => resp <> out 509 } 510 511 // sfence 512 when (flush) { 513 for (i <- 0 until MemReqWidth) { 514 when (waiting_resp(i)) { 515 flush_latch(i) := true.B 516 } 517 } 518 } 519 // mem -> control signal 520 // waiting_resp and sfence_latch will be reset when mem_resp_done 521 when (mem_resp_done) { 522 waiting_resp(mem.d.bits.source) := false.B 523 flush_latch(mem.d.bits.source) := false.B 524 } 525 526 def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 527 sink.valid := source.valid && !block_signal 528 source.ready := sink.ready && !block_signal 529 sink.bits := source.bits 530 } 531 532 def get_part(data: Vec[UInt], index: UInt): UInt = { 533 val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W))) 534 inner_data(index) 535 } 536 537 // not_super means that this is a normal page 538 // valididx(i) will be all true when super page to be convenient for l1 tlb matching 539 def contiguous_pte_to_merge_ptwResp(pte: UInt, vpn: UInt, af: Bool, af_first: Boolean, not_super: Boolean = true, s2xlate: UInt) : PtwMergeResp = { 540 assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 541 val ptw_merge_resp = Wire(new PtwMergeResp()) 542 val hasS2xlate = s2xlate =/= noS2xlate 543 for (i <- 0 until tlbcontiguous) { 544 val pte_in = pte(64 * i + 63, 64 * i).asTypeOf(new PteBundle()) 545 val ptw_resp = Wire(new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 546 ptw_resp.ppn := pte_in.ppn(ppnLen - 1, sectortlbwidth) 547 ptw_resp.ppn_low := pte_in.ppn(sectortlbwidth - 1, 0) 548 ptw_resp.level.map(_ := 2.U) 549 ptw_resp.perm.map(_ := pte_in.getPerm()) 550 ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth) 551 ptw_resp.pf := (if (af_first) !af else true.B) && (pte_in.isPf(2.U) || !pte_in.isLeaf()) 552 ptw_resp.af := (if (!af_first) pte_in.isPf(2.U) else true.B) && (af || Mux(s2xlate === allStage, false.B, pte_in.isAf())) 553 ptw_resp.v := !ptw_resp.pf 554 ptw_resp.prefetch := DontCare 555 ptw_resp.asid := Mux(hasS2xlate, vsatp.asid, satp.asid) 556 ptw_resp.vmid.map(_ := hgatp.asid) 557 ptw_merge_resp.entry(i) := ptw_resp 558 } 559 ptw_merge_resp.pteidx := UIntToOH(vpn(sectortlbwidth - 1, 0)).asBools 560 ptw_merge_resp.not_super := not_super.B 561 ptw_merge_resp 562 } 563 564 def merge_ptwResp_to_sector_ptwResp(pte: PtwMergeResp) : PtwSectorResp = { 565 assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 566 val ptw_sector_resp = Wire(new PtwSectorResp) 567 ptw_sector_resp.entry.tag := pte.entry(OHToUInt(pte.pteidx)).tag 568 ptw_sector_resp.entry.asid := pte.entry(OHToUInt(pte.pteidx)).asid 569 ptw_sector_resp.entry.vmid.map(_ := pte.entry(OHToUInt(pte.pteidx)).vmid.getOrElse(0.U)) 570 ptw_sector_resp.entry.ppn := pte.entry(OHToUInt(pte.pteidx)).ppn 571 ptw_sector_resp.entry.perm.map(_ := pte.entry(OHToUInt(pte.pteidx)).perm.getOrElse(0.U.asTypeOf(new PtePermBundle))) 572 ptw_sector_resp.entry.level.map(_ := pte.entry(OHToUInt(pte.pteidx)).level.getOrElse(0.U(2.W))) 573 ptw_sector_resp.entry.prefetch := pte.entry(OHToUInt(pte.pteidx)).prefetch 574 ptw_sector_resp.entry.v := pte.entry(OHToUInt(pte.pteidx)).v 575 ptw_sector_resp.af := pte.entry(OHToUInt(pte.pteidx)).af 576 ptw_sector_resp.pf := pte.entry(OHToUInt(pte.pteidx)).pf 577 ptw_sector_resp.addr_low := OHToUInt(pte.pteidx) 578 ptw_sector_resp.pteidx := pte.pteidx 579 for (i <- 0 until tlbcontiguous) { 580 val ppn_equal = pte.entry(i).ppn === pte.entry(OHToUInt(pte.pteidx)).ppn 581 val perm_equal = pte.entry(i).perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt === pte.entry(OHToUInt(pte.pteidx)).perm.getOrElse(0.U.asTypeOf(new PtePermBundle)).asUInt 582 val v_equal = pte.entry(i).v === pte.entry(OHToUInt(pte.pteidx)).v 583 val af_equal = pte.entry(i).af === pte.entry(OHToUInt(pte.pteidx)).af 584 val pf_equal = pte.entry(i).pf === pte.entry(OHToUInt(pte.pteidx)).pf 585 ptw_sector_resp.valididx(i) := (ppn_equal && perm_equal && v_equal && af_equal && pf_equal) || !pte.not_super 586 ptw_sector_resp.ppn_low(i) := pte.entry(i).ppn_low 587 } 588 ptw_sector_resp.valididx(OHToUInt(pte.pteidx)) := true.B 589 ptw_sector_resp 590 } 591 592 def outReady(source: UInt, port: Int): Bool = { 593 MuxLookup(source, true.B)((0 until PtwWidth).map(i => i.U -> mergeArb(i).in(port).ready)) 594 } 595 596 // debug info 597 for (i <- 0 until PtwWidth) { 598 XSDebug(p"[io.tlb(${i.U})] ${io.tlb(i)}\n") 599 } 600 XSDebug(p"[sfence] ${io.sfence}\n") 601 XSDebug(p"[io.csr.tlb] ${io.csr.tlb}\n") 602 603 for (i <- 0 until PtwWidth) { 604 XSPerfAccumulate(s"req_count${i}", io.tlb(i).req(0).fire) 605 XSPerfAccumulate(s"req_blocked_count_${i}", io.tlb(i).req(0).valid && !io.tlb(i).req(0).ready) 606 } 607 XSPerfAccumulate(s"req_blocked_by_mq", arb1.io.out.valid && missQueue.io.out.valid) 608 for (i <- 0 until (MemReqWidth + 1)) { 609 XSPerfAccumulate(s"mem_req_util${i}", PopCount(waiting_resp) === i.U) 610 } 611 XSPerfAccumulate("mem_cycle", PopCount(waiting_resp) =/= 0.U) 612 XSPerfAccumulate("mem_count", mem.a.fire) 613 for (i <- 0 until PtwWidth) { 614 XSPerfAccumulate(s"llptw_ppn_af${i}", mergeArb(i).in(outArbMqPort).valid && mergeArb(i).in(outArbMqPort).bits.s1.entry(OHToUInt(mergeArb(i).in(outArbMqPort).bits.s1.pteidx)).af && !llptw_out.bits.af) 615 XSPerfAccumulate(s"access_fault${i}", io.tlb(i).resp.fire && io.tlb(i).resp.bits.s1.af) 616 } 617 618 // print configs 619 println(s"${l2tlbParams.name}: a ptw, a llptw with size ${l2tlbParams.llptwsize}, miss queue size ${MissQueueSize} l1:${l2tlbParams.l1Size} fa l2: nSets ${l2tlbParams.l2nSets} nWays ${l2tlbParams.l2nWays} l3: ${l2tlbParams.l3nSets} nWays ${l2tlbParams.l3nWays} blockBytes:${l2tlbParams.blockBytes}") 620 621 // time out assert 622 for (i <- 0 until MemReqWidth) { 623 TimeOutAssert(waiting_resp(i), timeOutThreshold, s"ptw mem resp time out wait_resp${i}") 624 TimeOutAssert(flush_latch(i), timeOutThreshold, s"ptw mem resp time out flush_latch${i}") 625 } 626 627 628 val perfEvents = Seq(llptw, cache, ptw).flatMap(_.getPerfEvents) 629 generatePerfEvent() 630 631 val isWriteL1TlbTable = Constantin.createRecord(s"isWriteL1TlbTable$hartId") 632 val L1TlbTable = ChiselDB.createTable(s"L1Tlb_hart$hartId", new L1TlbDB) 633 val ITlbReqDB, DTlbReqDB, ITlbRespDB, DTlbRespDB = Wire(new L1TlbDB) 634 ITlbReqDB.vpn := io.tlb(0).req(0).bits.vpn 635 DTlbReqDB.vpn := io.tlb(1).req(0).bits.vpn 636 ITlbRespDB.vpn := io.tlb(0).resp.bits.s1.entry.tag 637 DTlbRespDB.vpn := io.tlb(1).resp.bits.s1.entry.tag 638 L1TlbTable.log(ITlbReqDB, isWriteL1TlbTable.orR && io.tlb(0).req(0).fire, "ITlbReq", clock, reset) 639 L1TlbTable.log(DTlbReqDB, isWriteL1TlbTable.orR && io.tlb(1).req(0).fire, "DTlbReq", clock, reset) 640 L1TlbTable.log(ITlbRespDB, isWriteL1TlbTable.orR && io.tlb(0).resp.fire, "ITlbResp", clock, reset) 641 L1TlbTable.log(DTlbRespDB, isWriteL1TlbTable.orR && io.tlb(1).resp.fire, "DTlbResp", clock, reset) 642 643 val isWritePageCacheTable = Constantin.createRecord(s"isWritePageCacheTable$hartId") 644 val PageCacheTable = ChiselDB.createTable(s"PageCache_hart$hartId", new PageCacheDB) 645 val PageCacheDB = Wire(new PageCacheDB) 646 PageCacheDB.vpn := Cat(cache.io.resp.bits.stage1.entry(0).tag, OHToUInt(cache.io.resp.bits.stage1.pteidx)) 647 PageCacheDB.source := cache.io.resp.bits.req_info.source 648 PageCacheDB.bypassed := cache.io.resp.bits.bypassed 649 PageCacheDB.is_first := cache.io.resp.bits.isFirst 650 PageCacheDB.prefetched := cache.io.resp.bits.stage1.entry(0).prefetch 651 PageCacheDB.prefetch := cache.io.resp.bits.prefetch 652 PageCacheDB.l2Hit := cache.io.resp.bits.toFsm.l2Hit 653 PageCacheDB.l1Hit := cache.io.resp.bits.toFsm.l1Hit 654 PageCacheDB.hit := cache.io.resp.bits.hit 655 PageCacheTable.log(PageCacheDB, isWritePageCacheTable.orR && cache.io.resp.fire, "PageCache", clock, reset) 656 657 val isWritePTWTable = Constantin.createRecord(s"isWritePTWTable$hartId") 658 val PTWTable = ChiselDB.createTable(s"PTW_hart$hartId", new PTWDB) 659 val PTWReqDB, PTWRespDB, LLPTWReqDB, LLPTWRespDB = Wire(new PTWDB) 660 PTWReqDB.vpn := ptw.io.req.bits.req_info.vpn 661 PTWReqDB.source := ptw.io.req.bits.req_info.source 662 PTWRespDB.vpn := ptw.io.refill.req_info.vpn 663 PTWRespDB.source := ptw.io.refill.req_info.source 664 LLPTWReqDB.vpn := llptw.io.in.bits.req_info.vpn 665 LLPTWReqDB.source := llptw.io.in.bits.req_info.source 666 LLPTWRespDB.vpn := llptw.io.mem.refill.vpn 667 LLPTWRespDB.source := llptw.io.mem.refill.source 668 PTWTable.log(PTWReqDB, isWritePTWTable.orR && ptw.io.req.fire, "PTWReq", clock, reset) 669 PTWTable.log(PTWRespDB, isWritePTWTable.orR && ptw.io.mem.resp.fire, "PTWResp", clock, reset) 670 PTWTable.log(LLPTWReqDB, isWritePTWTable.orR && llptw.io.in.fire, "LLPTWReq", clock, reset) 671 PTWTable.log(LLPTWRespDB, isWritePTWTable.orR && llptw.io.mem.resp.fire, "LLPTWResp", clock, reset) 672 673 val isWriteL2TlbMissQueueTable = Constantin.createRecord(s"isWriteL2TlbMissQueueTable$hartId") 674 val L2TlbMissQueueTable = ChiselDB.createTable(s"L2TlbMissQueue_hart$hartId", new L2TlbMissQueueDB) 675 val L2TlbMissQueueInDB, L2TlbMissQueueOutDB = Wire(new L2TlbMissQueueDB) 676 L2TlbMissQueueInDB.vpn := missQueue.io.in.bits.req_info.vpn 677 L2TlbMissQueueOutDB.vpn := missQueue.io.out.bits.req_info.vpn 678 L2TlbMissQueueTable.log(L2TlbMissQueueInDB, isWriteL2TlbMissQueueTable.orR && missQueue.io.in.fire, "L2TlbMissQueueIn", clock, reset) 679 L2TlbMissQueueTable.log(L2TlbMissQueueOutDB, isWriteL2TlbMissQueueTable.orR && missQueue.io.out.fire, "L2TlbMissQueueOut", clock, reset) 680} 681 682/** BlockHelper, block missqueue, not to send too many req to cache 683 * Parameter: 684 * enable: enable BlockHelper, mq should not send too many reqs 685 * start: when miss queue out fire and need, block miss queue's out 686 * block: block miss queue's out 687 * latency: last missqueue out's cache access latency 688 */ 689class BlockHelper(latency: Int)(implicit p: Parameters) extends XSModule { 690 val io = IO(new Bundle { 691 val enable = Input(Bool()) 692 val start = Input(Bool()) 693 val block = Output(Bool()) 694 }) 695 696 val count = RegInit(0.U(log2Ceil(latency).W)) 697 val valid = RegInit(false.B) 698 val work = RegInit(true.B) 699 700 io.block := valid 701 702 when (io.start && work) { valid := true.B } 703 when (valid) { count := count + 1.U } 704 when (count === (latency.U) || io.enable) { 705 valid := false.B 706 work := io.enable 707 count := 0.U 708 } 709} 710 711class PTEHelper() extends ExtModule { 712 val clock = IO(Input(Clock())) 713 val enable = IO(Input(Bool())) 714 val satp = IO(Input(UInt(64.W))) 715 val vpn = IO(Input(UInt(64.W))) 716 val pte = IO(Output(UInt(64.W))) 717 val level = IO(Output(UInt(8.W))) 718 val pf = IO(Output(UInt(8.W))) 719} 720 721class PTWDelayN[T <: Data](gen: T, n: Int, flush: Bool) extends Module { 722 val io = IO(new Bundle() { 723 val in = Input(gen) 724 val out = Output(gen) 725 val ptwflush = Input(flush.cloneType) 726 }) 727 val out = RegInit(VecInit(Seq.fill(n)(0.U.asTypeOf(gen)))) 728 val t = RegInit(VecInit(Seq.fill(n)(0.U.asTypeOf(gen)))) 729 out(0) := io.in 730 if (n == 1) { 731 io.out := out(0) 732 } else { 733 when (io.ptwflush) { 734 for (i <- 0 until n) { 735 t(i) := 0.U.asTypeOf(gen) 736 out(i) := 0.U.asTypeOf(gen) 737 } 738 io.out := 0.U.asTypeOf(gen) 739 } .otherwise { 740 for (i <- 1 until n) { 741 t(i-1) := out(i-1) 742 out(i) := t(i-1) 743 } 744 io.out := out(n-1) 745 } 746 } 747} 748 749object PTWDelayN { 750 def apply[T <: Data](in: T, n: Int, flush: Bool): T = { 751 val delay = Module(new PTWDelayN(in.cloneType, n, flush)) 752 delay.io.in := in 753 delay.io.ptwflush := flush 754 delay.io.out 755 } 756} 757 758class FakePTW()(implicit p: Parameters) extends XSModule with HasPtwConst { 759 val io = IO(new L2TLBIO) 760 val flush = VecInit(Seq.fill(PtwWidth)(false.B)) 761 flush(0) := DelayN(io.sfence.valid || io.csr.tlb.satp.changed, itlbParams.fenceDelay) 762 flush(1) := DelayN(io.sfence.valid || io.csr.tlb.satp.changed, ldtlbParams.fenceDelay) 763 for (i <- 0 until PtwWidth) { 764 val helper = Module(new PTEHelper()) 765 helper.clock := clock 766 helper.satp := io.csr.tlb.satp.ppn 767 768 if (coreParams.softPTWDelay == 1) { 769 helper.enable := io.tlb(i).req(0).fire 770 helper.vpn := io.tlb(i).req(0).bits.vpn 771 } else { 772 helper.enable := PTWDelayN(io.tlb(i).req(0).fire, coreParams.softPTWDelay - 1, flush(i)) 773 helper.vpn := PTWDelayN(io.tlb(i).req(0).bits.vpn, coreParams.softPTWDelay - 1, flush(i)) 774 } 775 776 val pte = helper.pte.asTypeOf(new PteBundle) 777 val level = helper.level 778 val pf = helper.pf 779 val empty = RegInit(true.B) 780 when (io.tlb(i).req(0).fire) { 781 empty := false.B 782 } .elsewhen (io.tlb(i).resp.fire || flush(i)) { 783 empty := true.B 784 } 785 786 io.tlb(i).req(0).ready := empty || io.tlb(i).resp.fire 787 io.tlb(i).resp.valid := PTWDelayN(io.tlb(i).req(0).fire, coreParams.softPTWDelay, flush(i)) 788 assert(!io.tlb(i).resp.valid || io.tlb(i).resp.ready) 789 io.tlb(i).resp.bits.s1.entry.tag := PTWDelayN(io.tlb(i).req(0).bits.vpn, coreParams.softPTWDelay, flush(i)) 790 io.tlb(i).resp.bits.s1.entry.ppn := pte.ppn 791 io.tlb(i).resp.bits.s1.entry.perm.map(_ := pte.getPerm()) 792 io.tlb(i).resp.bits.s1.entry.level.map(_ := level) 793 io.tlb(i).resp.bits.s1.pf := pf 794 io.tlb(i).resp.bits.s1.af := DontCare // TODO: implement it 795 io.tlb(i).resp.bits.s1.entry.v := !pf 796 io.tlb(i).resp.bits.s1.entry.prefetch := DontCare 797 io.tlb(i).resp.bits.s1.entry.asid := io.csr.tlb.satp.asid 798 } 799} 800 801class L2TLBWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter { 802 override def shouldBeInlined: Boolean = false 803 val useSoftPTW = coreParams.softPTW 804 val node = if (!useSoftPTW) TLIdentityNode() else null 805 val ptw = if (!useSoftPTW) LazyModule(new L2TLB()) else null 806 if (!useSoftPTW) { 807 node := ptw.node 808 } 809 810 class L2TLBWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents { 811 val io = IO(new L2TLBIO) 812 val perfEvents = if (useSoftPTW) { 813 val fake_ptw = Module(new FakePTW()) 814 io <> fake_ptw.io 815 Seq() 816 } 817 else { 818 io <> ptw.module.io 819 ptw.module.getPerfEvents 820 } 821 generatePerfEvent() 822 } 823 824 lazy val module = new L2TLBWrapperImp(this) 825} 826