xref: /XiangShan/src/main/scala/xiangshan/XSTileWrap.scala (revision 85a8d7ca95be7636399af9f3c39382ab20231da7)
18537b88aSTang Haojin/***************************************************************************************
28537b88aSTang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
38537b88aSTang Haojin* Copyright (c) 2024 Institute of Computing Technology, Chinese Academy of Sciences
48537b88aSTang Haojin*
58537b88aSTang Haojin* XiangShan is licensed under Mulan PSL v2.
68537b88aSTang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2.
78537b88aSTang Haojin* You may obtain a copy of Mulan PSL v2 at:
88537b88aSTang Haojin*          http://license.coscl.org.cn/MulanPSL2
98537b88aSTang Haojin*
108537b88aSTang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
118537b88aSTang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
128537b88aSTang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
138537b88aSTang Haojin*
148537b88aSTang Haojin* See the Mulan PSL v2 for more details.
158537b88aSTang Haojin***************************************************************************************/
168537b88aSTang Haojin
178537b88aSTang Haojinpackage xiangshan
188537b88aSTang Haojin
198537b88aSTang Haojinimport chisel3._
208537b88aSTang Haojinimport chisel3.util._
218537b88aSTang Haojinimport org.chipsalliance.cde.config._
228537b88aSTang Haojinimport freechips.rocketchip.diplomacy._
238537b88aSTang Haojinimport freechips.rocketchip.interrupts._
248537b88aSTang Haojinimport freechips.rocketchip.util._
258537b88aSTang Haojinimport system.HasSoCParameter
268537b88aSTang Haojinimport device.{IMSICAsync, MsiInfoBundle}
278537b88aSTang Haojinimport coupledL2.tl2chi.{PortIO, AsyncPortIO, CHIAsyncBridgeSource}
287ff4ebdcSTang Haojinimport utility.{IntBuffer, ResetGen}
298537b88aSTang Haojin
308537b88aSTang Haojin// This module is used for XSNoCTop for async time domain and divide different
318537b88aSTang Haojin// voltage domain. Everything in this module should be in the core clock domain
328537b88aSTang Haojin// and higher voltage domain.
338537b88aSTang Haojinclass XSTileWrap()(implicit p: Parameters) extends LazyModule
348537b88aSTang Haojin  with HasXSParameter
358537b88aSTang Haojin  with HasSoCParameter
368537b88aSTang Haojin{
378537b88aSTang Haojin  override def shouldBeInlined: Boolean = false
388537b88aSTang Haojin
398537b88aSTang Haojin  val tile = LazyModule(new XSTile())
408537b88aSTang Haojin
418537b88aSTang Haojin  // interrupts sync
428537b88aSTang Haojin  val clintIntNode = IntIdentityNode()
438537b88aSTang Haojin  val debugIntNode = IntIdentityNode()
448537b88aSTang Haojin  val plicIntNode = IntIdentityNode()
457ff4ebdcSTang Haojin  val beuIntNode = IntIdentityNode()
468bc90631SZehao Liu  val nmiIntNode = IntIdentityNode()
477ff4ebdcSTang Haojin  tile.clint_int_node := IntBuffer(3, cdc = true) := clintIntNode
487ff4ebdcSTang Haojin  tile.debug_int_node := IntBuffer(3, cdc = true) := debugIntNode
497ff4ebdcSTang Haojin  tile.plic_int_node :*= IntBuffer(3, cdc = true) :*= plicIntNode
508bc90631SZehao Liu  tile.nmi_int_node := IntBuffer(3, cdc = true) := nmiIntNode
517ff4ebdcSTang Haojin  beuIntNode := IntBuffer() := tile.beu_int_source
527ff4ebdcSTang Haojin  class XSTileWrapImp(wrapper: LazyModule) extends LazyRawModuleImp(wrapper) {
537ff4ebdcSTang Haojin    val clock = IO(Input(Clock()))
547ff4ebdcSTang Haojin    val reset = IO(Input(AsyncReset()))
557ff4ebdcSTang Haojin    val noc_reset = EnableCHIAsyncBridge.map(_ => IO(Input(AsyncReset())))
567ff4ebdcSTang Haojin    val soc_reset = IO(Input(AsyncReset()))
578537b88aSTang Haojin    val io = IO(new Bundle {
588537b88aSTang Haojin      val hartId = Input(UInt(hartIdLen.W))
598537b88aSTang Haojin      val msiInfo = Input(ValidIO(new MsiInfoBundle))
608537b88aSTang Haojin      val reset_vector = Input(UInt(PAddrBits.W))
618537b88aSTang Haojin      val cpu_halt = Output(Bool())
62*85a8d7caSZehao Liu      val cpu_crtical_error = Output(Bool())
63b30cb8bfSGuanghui Cheng      val hartIsInReset = Output(Bool())
648537b88aSTang Haojin      val debugTopDown = new Bundle {
658537b88aSTang Haojin        val robHeadPaddr = Valid(UInt(PAddrBits.W))
668537b88aSTang Haojin        val l3MissMatch = Input(Bool())
678537b88aSTang Haojin      }
68e2725c9eSzhanglinjuan      val chi = EnableCHIAsyncBridge match {
697ff4ebdcSTang Haojin        case Some(param) => new AsyncPortIO(param)
707ff4ebdcSTang Haojin        case None => new PortIO
71e2725c9eSzhanglinjuan      }
728537b88aSTang Haojin      val nodeID = if (enableCHI) Some(Input(UInt(NodeIDWidth.W))) else None
73e2725c9eSzhanglinjuan      val clintTime = EnableClintAsyncBridge match {
747ff4ebdcSTang Haojin        case Some(param) => Flipped(new AsyncBundle(UInt(64.W), param))
757ff4ebdcSTang Haojin        case None => Input(ValidIO(UInt(64.W)))
76e2725c9eSzhanglinjuan      }
778537b88aSTang Haojin    })
788537b88aSTang Haojin
797ff4ebdcSTang Haojin    val reset_sync = withClockAndReset(clock, reset)(ResetGen())
807ff4ebdcSTang Haojin    val noc_reset_sync = EnableCHIAsyncBridge.map(_ => withClockAndReset(clock, noc_reset.get)(ResetGen()))
817ff4ebdcSTang Haojin    val soc_reset_sync = withClockAndReset(clock, soc_reset)(ResetGen())
827ff4ebdcSTang Haojin
837ff4ebdcSTang Haojin    // override LazyRawModuleImp's clock and reset
847ff4ebdcSTang Haojin    childClock := clock
857ff4ebdcSTang Haojin    childReset := reset_sync
867ff4ebdcSTang Haojin
877ff4ebdcSTang Haojin    val imsicAsync = withClockAndReset(clock, reset_sync)(Module(new IMSICAsync()))
888537b88aSTang Haojin    imsicAsync.i.msiInfo := io.msiInfo
898537b88aSTang Haojin
908537b88aSTang Haojin    tile.module.io.hartId := io.hartId
918537b88aSTang Haojin    tile.module.io.msiInfo := imsicAsync.o.msiInfo
928537b88aSTang Haojin    tile.module.io.reset_vector := io.reset_vector
938537b88aSTang Haojin    io.cpu_halt := tile.module.io.cpu_halt
94*85a8d7caSZehao Liu    io.cpu_crtical_error := tile.module.io.cpu_crtical_error
95b30cb8bfSGuanghui Cheng    io.hartIsInReset := tile.module.io.hartIsInReset
968537b88aSTang Haojin    io.debugTopDown <> tile.module.io.debugTopDown
978537b88aSTang Haojin    tile.module.io.nodeID.foreach(_ := io.nodeID.get)
988537b88aSTang Haojin
998537b88aSTang Haojin    // CLINT Async Queue Sink
100e2725c9eSzhanglinjuan    EnableClintAsyncBridge match {
101e2725c9eSzhanglinjuan      case Some(param) =>
1027ff4ebdcSTang Haojin        val sink = withClockAndReset(clock, soc_reset_sync)(Module(new AsyncQueueSink(UInt(64.W), param)))
1037ff4ebdcSTang Haojin        sink.io.async <> io.clintTime
104e2725c9eSzhanglinjuan        sink.io.deq.ready := true.B
105e2725c9eSzhanglinjuan        tile.module.io.clintTime.valid := sink.io.deq.valid
106e2725c9eSzhanglinjuan        tile.module.io.clintTime.bits := sink.io.deq.bits
107e2725c9eSzhanglinjuan      case None =>
1087ff4ebdcSTang Haojin        tile.module.io.clintTime := io.clintTime
109e2725c9eSzhanglinjuan    }
1108537b88aSTang Haojin
1118537b88aSTang Haojin    // CHI Async Queue Source
112e2725c9eSzhanglinjuan    EnableCHIAsyncBridge match {
113e2725c9eSzhanglinjuan      case Some(param) =>
1147ff4ebdcSTang Haojin        val source = withClockAndReset(clock, noc_reset_sync.get)(Module(new CHIAsyncBridgeSource(param)))
115e2725c9eSzhanglinjuan        source.io.enq <> tile.module.io.chi.get
1167ff4ebdcSTang Haojin        io.chi <> source.io.async
117e2725c9eSzhanglinjuan      case None =>
118e2725c9eSzhanglinjuan        require(enableCHI)
1197ff4ebdcSTang Haojin        io.chi <> tile.module.io.chi.get
1208537b88aSTang Haojin    }
1218537b88aSTang Haojin
1227ff4ebdcSTang Haojin    withClockAndReset(clock, reset_sync) {
1237ff4ebdcSTang Haojin      // Modules are reset one by one
1247ff4ebdcSTang Haojin      // reset ----> SYNC --> XSTile
1257ff4ebdcSTang Haojin      val resetChain = Seq(Seq(tile.module))
1267ff4ebdcSTang Haojin      ResetGen(resetChain, reset_sync, !debugOpts.FPGAPlatform)
1277ff4ebdcSTang Haojin    }
1288537b88aSTang Haojin    dontTouch(io.hartId)
1298537b88aSTang Haojin    dontTouch(io.msiInfo)
1308537b88aSTang Haojin  }
1318537b88aSTang Haojin  lazy val module = new XSTileWrapImp(this)
1328537b88aSTang Haojin}
133