Home
last modified time | relevance | path

Searched defs:enq (Results 1 – 24 of 24) sorted by relevance

/XiangShan/src/main/scala/utils/
H A DPipeWithFlush.scala28 val enq = Input(Valid(gen)) constant
/XiangShan/src/main/scala/xiangshan/backend/rob/
H A DRobEnqPtrWrapper.scala44 val enq = Vec(RenameWidth, Input(Bool())) constant
H A DExceptionGen.scala41 val enq = Vec(RenameWidth, Flipped(ValidIO(new RobExceptionInfo))) constant
H A DRob.scala68 val enq = new RobEnqIO constant
/XiangShan/src/main/scala/xiangshan/mem/sbuffer/
H A DDatamoduleResultBuffer.scala33 val enq = Vec(EnsbufferWidth, Flipped(DecoupledIO(gen))) constant
/XiangShan/src/main/scala/xiangshan/backend/issue/
H A DNewAgeDetector.scala28 val enq = Vec(numEnq, Input(Bool())) constant
H A DMultiWakeupQueue.scala21 val enq = Flipped(Valid(new EnqBundle)) constant
H A DAgeDetector.scala31 val enq = Vec(numEnq, Input(UInt(numEntries.W))) constant
H A DEntries.scala521 val enq = Vec(params.numEnq, Flipped(ValidIO(new EntryBundle))) constant
H A DEntryBundles.scala101 val enq = Flipped(ValidIO(new EntryBundle)) constant
H A DIssueQueue.scala50 val enq = Vec(params.numEnq, Flipped(DecoupledIO(new DynInst))) constant
/XiangShan/src/main/scala/xiangshan/frontend/icache/
H A DFIFO.scala25 val enq: DecoupledIO[T] = Flipped(DecoupledIO(gen)) constant
/XiangShan/src/main/scala/xiangshan/backend/rename/
H A DSnapshot.scala30 val enq = Input(Bool()) constant
/XiangShan/src/main/scala/xiangshan/mem/lsqueue/
H A DLSQWrapper.scala73 val enq = new LsqEnqIO constant
323 val enq = new LsqEnqIO constant
H A DVirtualLoadQueue.scala45 val enq = new LqEnqIO constant
H A DLoadMisalignBuffer.scala119 val enq = Vec(enqPortNum, Flipped(new MisalignBufferEnqIO)) constant
H A DLoadQueue.scala163 val enq = new LqEnqIO constant
H A DStoreMisalignBuffer.scala99 val enq = Vec(enqPortNum, Flipped(new MisalignBufferEnqIO)) constant
H A DLoadQueueReplay.scala96 val enq = Vec(numEnq, Input(UInt(numEntries.W))) constant
183 val enq = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle))) constant
H A DStoreQueue.scala165 val enq = new SqEnqIO constant
/XiangShan/src/main/scala/device/
H A DMemEncrypt.scala54 val enq = Flipped(DecoupledIO(new Bundle { constant
352 val enq = Flipped(DecoupledIO(new Bundle { constant
H A DMemEncryptUtil.scala30 val enq = Flipped(Irrevocable(gen)) constant
/XiangShan/src/main/scala/xiangshan/backend/decode/
H A DDecodeUnit.scala788 val enq = new DecodeUnitEnqIO constant
/XiangShan/src/main/scala/xiangshan/frontend/
H A DNewFtq.scala1597 val enq = io.fromBpu.resp constant