xref: /XiangShan/src/test/scala/top/SimTop.scala (revision ae44e2b70b48774aac714529385f8df2bd7ed4ec)
1/***************************************************************************************
2* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences
4* Copyright (c) 2020-2021 Peng Cheng Laboratory
5*
6* XiangShan is licensed under Mulan PSL v2.
7* You can use this software according to the terms and conditions of the Mulan PSL v2.
8* You may obtain a copy of Mulan PSL v2 at:
9*          http://license.coscl.org.cn/MulanPSL2
10*
11* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
12* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
13* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
14*
15* See the Mulan PSL v2 for more details.
16***************************************************************************************/
17
18package top
19
20import org.chipsalliance.cde.config.Parameters
21import chisel3._
22import chisel3.util._
23import chisel3.experimental.dataview._
24import device.{AXI4MemorySlave, SimJTAG}
25import difftest._
26import freechips.rocketchip.amba.axi4.AXI4Bundle
27import freechips.rocketchip.diplomacy.{DisableMonitors, LazyModule}
28import utility.{ChiselDB, Constantin, FileRegisters, GTimer}
29import xiangshan.DebugOptionsKey
30
31class SimTop(implicit p: Parameters) extends Module {
32  val debugOpts = p(DebugOptionsKey)
33
34  val l_soc = LazyModule(new XSTop())
35  val soc = Module(l_soc.module)
36  // Don't allow the top-level signals to be optimized out,
37  // so that we can re-use this SimTop for any generated Verilog RTL.
38  dontTouch(soc.io)
39
40  if (!l_soc.module.dma.isEmpty) {
41    l_soc.module.dma.get <> 0.U.asTypeOf(l_soc.module.dma.get)
42  }
43
44  val l_simMMIO = LazyModule(new SimMMIO(l_soc.misc.peripheralNode.in.head._2))
45  val simMMIO = Module(l_simMMIO.module)
46  l_simMMIO.io_axi4.elements.head._2 <> soc.peripheral.viewAs[AXI4Bundle]
47
48  val l_simAXIMem = AXI4MemorySlave(
49    l_soc.misc.memAXI4SlaveNode,
50    16L * 1024 * 1024 * 1024,
51    useBlackBox = true,
52    dynamicLatency = debugOpts.UseDRAMSim
53  )
54  val simAXIMem = Module(l_simAXIMem.module)
55  l_simAXIMem.io_axi4.elements.head._2 :<>= soc.memory.viewAs[AXI4Bundle].waiveAll
56
57  soc.io.clock := clock.asBool
58  soc.io.reset := (reset.asBool || soc.io.debug_reset).asAsyncReset
59  soc.io.extIntrs := simMMIO.io.interrupt.intrVec
60  soc.io.sram_config := 0.U
61  soc.io.pll0_lock := true.B
62  soc.io.cacheable_check := DontCare
63  soc.io.riscv_rst_vec.foreach(_ := 0x10000000L.U)
64
65  // soc.io.rtc_clock is a div100 of soc.io.clock
66  val rtcClockDiv = 100
67  val rtcTickCycle = rtcClockDiv / 2
68  val rtcCounter = RegInit(0.U(log2Ceil(rtcTickCycle + 1).W))
69  rtcCounter := Mux(rtcCounter === (rtcTickCycle - 1).U, 0.U, rtcCounter + 1.U)
70  val rtcClock = RegInit(false.B)
71  when (rtcCounter === 0.U) {
72    rtcClock := ~rtcClock
73  }
74  soc.io.rtc_clock := rtcClock
75
76  val success = Wire(Bool())
77  val jtag = Module(new SimJTAG(tickDelay = 3)(p))
78  jtag.connect(soc.io.systemjtag.jtag, clock, reset.asBool, !reset.asBool, success)
79  soc.io.systemjtag.reset := reset.asAsyncReset
80  soc.io.systemjtag.mfr_id := 0.U(11.W)
81  soc.io.systemjtag.part_number := 0.U(16.W)
82  soc.io.systemjtag.version := 0.U(4.W)
83
84  val difftest = DifftestModule.finish("XiangShan")
85
86  simMMIO.io.uart <> difftest.uart
87
88  val hasPerf = !debugOpts.FPGAPlatform && debugOpts.EnablePerfDebug
89  val hasLog = !debugOpts.FPGAPlatform && debugOpts.EnableDebug
90  val hasPerfLog = hasPerf || hasLog
91  val timer = if (hasPerfLog) GTimer() else WireDefault(0.U(64.W))
92  val logEnable = if (hasPerfLog) WireDefault(difftest.logCtrl.enable(timer)) else WireDefault(false.B)
93  val clean = if (hasPerf) WireDefault(difftest.perfCtrl.clean) else WireDefault(false.B)
94  val dump = if (hasPerf) WireDefault(difftest.perfCtrl.dump) else WireDefault(false.B)
95
96  dontTouch(timer)
97  dontTouch(logEnable)
98  dontTouch(clean)
99  dontTouch(dump)
100}
101
102object SimTop extends App {
103  // Keep this the same as TopMain except that SimTop is used here instead of XSTop
104  val (config, firrtlOpts, firtoolOpts) = ArgParser.parse(args)
105
106  // tools: init to close dpi-c when in fpga
107  val envInFPGA = config(DebugOptionsKey).FPGAPlatform
108  val enableChiselDB = config(DebugOptionsKey).EnableChiselDB
109  val enableConstantin = config(DebugOptionsKey).EnableConstantin
110  Constantin.init(enableConstantin && !envInFPGA)
111  ChiselDB.init(enableChiselDB && !envInFPGA)
112
113  Generator.execute(
114    firrtlOpts,
115    DisableMonitors(p => new SimTop()(p))(config),
116    firtoolOpts
117  )
118
119  // tools: write cpp files
120  ChiselDB.addToFileRegisters
121  Constantin.addToFileRegisters
122  FileRegisters.write(fileDir = "./build")
123}
124