1ad3ba452Szhanglinjuan/*************************************************************************************** 2ad3ba452Szhanglinjuan* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3ad3ba452Szhanglinjuan* Copyright (c) 2020-2021 Peng Cheng Laboratory 4ad3ba452Szhanglinjuan* 5ad3ba452Szhanglinjuan* XiangShan is licensed under Mulan PSL v2. 6ad3ba452Szhanglinjuan* You can use this software according to the terms and conditions of the Mulan PSL v2. 7ad3ba452Szhanglinjuan* You may obtain a copy of Mulan PSL v2 at: 8ad3ba452Szhanglinjuan* http://license.coscl.org.cn/MulanPSL2 9ad3ba452Szhanglinjuan* 10ad3ba452Szhanglinjuan* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11ad3ba452Szhanglinjuan* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12ad3ba452Szhanglinjuan* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13ad3ba452Szhanglinjuan* 14ad3ba452Szhanglinjuan* See the Mulan PSL v2 for more details. 15ad3ba452Szhanglinjuan***************************************************************************************/ 16ad3ba452Szhanglinjuan 17ad3ba452Szhanglinjuanpackage xiangshan.mem 18ad3ba452Szhanglinjuan 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 20ad3ba452Szhanglinjuanimport chisel3._ 21ad3ba452Szhanglinjuanimport chisel3.util._ 22ad3ba452Szhanglinjuanimport xiangshan._ 23ad3ba452Szhanglinjuanimport utils._ 243c02ee8fSwakafaimport utility._ 25ad3ba452Szhanglinjuanimport xiangshan.cache._ 26ad3ba452Szhanglinjuanimport difftest._ 2774ea8036SJeniusimport freechips.rocketchip.util._ 28ad3ba452Szhanglinjuan 29ad3ba452Szhanglinjuanclass SbufferFlushBundle extends Bundle { 30ad3ba452Szhanglinjuan val valid = Output(Bool()) 31ad3ba452Szhanglinjuan val empty = Input(Bool()) 32ad3ba452Szhanglinjuan} 33ad3ba452Szhanglinjuan 34ad3ba452Szhanglinjuantrait HasSbufferConst extends HasXSParameter { 35ad3ba452Szhanglinjuan val EvictCycles = 1 << 20 36ad3ba452Szhanglinjuan val SbufferReplayDelayCycles = 16 37ad3ba452Szhanglinjuan require(isPow2(EvictCycles)) 38ad3ba452Szhanglinjuan val EvictCountBits = log2Up(EvictCycles+1) 39ad3ba452Szhanglinjuan val MissqReplayCountBits = log2Up(SbufferReplayDelayCycles) + 1 40ad3ba452Szhanglinjuan 418b1251e1SWilliam Wang // dcache write hit resp has 2 sources 42*ffd3154dSCharlieLiu // refill pipe resp and main pipe resp (fixed:only main pipe resp) 43*ffd3154dSCharlieLiu // val NumDcacheWriteResp = 2 // hardcoded 44*ffd3154dSCharlieLiu val NumDcacheWriteResp = 1 // hardcoded 458b1251e1SWilliam Wang 46ad3ba452Szhanglinjuan val SbufferIndexWidth: Int = log2Up(StoreBufferSize) 47ad3ba452Szhanglinjuan // paddr = ptag + offset 48ad3ba452Szhanglinjuan val CacheLineBytes: Int = CacheLineSize / 8 49ad3ba452Szhanglinjuan val CacheLineWords: Int = CacheLineBytes / DataBytes 50ad3ba452Szhanglinjuan val OffsetWidth: Int = log2Up(CacheLineBytes) 51ad3ba452Szhanglinjuan val WordsWidth: Int = log2Up(CacheLineWords) 52ad3ba452Szhanglinjuan val PTagWidth: Int = PAddrBits - OffsetWidth 53ad3ba452Szhanglinjuan val VTagWidth: Int = VAddrBits - OffsetWidth 54ad3ba452Szhanglinjuan val WordOffsetWidth: Int = PAddrBits - WordsWidth 55cdbff57cSHaoyuan Feng 56cdbff57cSHaoyuan Feng val CacheLineVWords: Int = CacheLineBytes / VDataBytes 57cdbff57cSHaoyuan Feng val VWordsWidth: Int = log2Up(CacheLineVWords) 58cdbff57cSHaoyuan Feng val VWordWidth: Int = log2Up(VDataBytes) 59cdbff57cSHaoyuan Feng val VWordOffsetWidth: Int = PAddrBits - VWordWidth 60ad3ba452Szhanglinjuan} 61ad3ba452Szhanglinjuan 62ad3ba452Szhanglinjuanclass SbufferEntryState (implicit p: Parameters) extends SbufferBundle { 63ad3ba452Szhanglinjuan val state_valid = Bool() // this entry is active 64ad3ba452Szhanglinjuan val state_inflight = Bool() // sbuffer is trying to write this entry to dcache 65a98b054bSWilliam Wang val w_timeout = Bool() // with timeout resp, waiting for resend store pipeline req timeout 66a98b054bSWilliam Wang val w_sameblock_inflight = Bool() // same cache block dcache req is inflight 67ad3ba452Szhanglinjuan 68ad3ba452Szhanglinjuan def isInvalid(): Bool = !state_valid 69ad3ba452Szhanglinjuan def isValid(): Bool = state_valid 70ad3ba452Szhanglinjuan def isActive(): Bool = state_valid && !state_inflight 71ad3ba452Szhanglinjuan def isInflight(): Bool = state_inflight 72a98b054bSWilliam Wang def isDcacheReqCandidate(): Bool = state_valid && !state_inflight && !w_sameblock_inflight 73ad3ba452Szhanglinjuan} 74ad3ba452Szhanglinjuan 75ad3ba452Szhanglinjuanclass SbufferBundle(implicit p: Parameters) extends XSBundle with HasSbufferConst 76ad3ba452Szhanglinjuan 77ad3ba452Szhanglinjuanclass DataWriteReq(implicit p: Parameters) extends SbufferBundle { 783d3419b9SWilliam Wang // univerisal writemask 7967c26c34SWilliam Wang val wvec = UInt(StoreBufferSize.W) 803d3419b9SWilliam Wang // 2 cycle update 81cdbff57cSHaoyuan Feng val mask = UInt((VLEN/8).W) 82cdbff57cSHaoyuan Feng val data = UInt(VLEN.W) 83cdbff57cSHaoyuan Feng val vwordOffset = UInt(VWordOffsetWidth.W) 848b1251e1SWilliam Wang val wline = Bool() // write full cacheline 858b1251e1SWilliam Wang} 868b1251e1SWilliam Wang 878b1251e1SWilliam Wangclass MaskFlushReq(implicit p: Parameters) extends SbufferBundle { 888b1251e1SWilliam Wang // univerisal writemask 898b1251e1SWilliam Wang val wvec = UInt(StoreBufferSize.W) 90ad3ba452Szhanglinjuan} 91ad3ba452Szhanglinjuan 92ad3ba452Szhanglinjuanclass SbufferData(implicit p: Parameters) extends XSModule with HasSbufferConst { 93ad3ba452Szhanglinjuan val io = IO(new Bundle(){ 948b1251e1SWilliam Wang // update data and mask when alloc or merge 9546f74b57SHaojin Tang val writeReq = Vec(EnsbufferWidth, Flipped(ValidIO(new DataWriteReq))) 968b1251e1SWilliam Wang // clean mask when deq 978b1251e1SWilliam Wang val maskFlushReq = Vec(NumDcacheWriteResp, Flipped(ValidIO(new MaskFlushReq))) 98cdbff57cSHaoyuan Feng val dataOut = Output(Vec(StoreBufferSize, Vec(CacheLineVWords, Vec(VDataBytes, UInt(8.W))))) 99cdbff57cSHaoyuan Feng val maskOut = Output(Vec(StoreBufferSize, Vec(CacheLineVWords, Vec(VDataBytes, Bool())))) 100ad3ba452Szhanglinjuan }) 101ad3ba452Szhanglinjuan 102cdbff57cSHaoyuan Feng val data = Reg(Vec(StoreBufferSize, Vec(CacheLineVWords, Vec(VDataBytes, UInt(8.W))))) 1038b1251e1SWilliam Wang // val mask = Reg(Vec(StoreBufferSize, Vec(CacheLineWords, Vec(DataBytes, Bool())))) 1048b1251e1SWilliam Wang val mask = RegInit( 1058b1251e1SWilliam Wang VecInit(Seq.fill(StoreBufferSize)( 106cdbff57cSHaoyuan Feng VecInit(Seq.fill(CacheLineVWords)( 107cdbff57cSHaoyuan Feng VecInit(Seq.fill(VDataBytes)(false.B)) 1088b1251e1SWilliam Wang )) 1098b1251e1SWilliam Wang )) 1108b1251e1SWilliam Wang ) 1118b1251e1SWilliam Wang 1128b1251e1SWilliam Wang // 2 cycle line mask clean 1138b1251e1SWilliam Wang for(line <- 0 until StoreBufferSize){ 1148b1251e1SWilliam Wang val line_mask_clean_flag = RegNext( 1158b1251e1SWilliam Wang io.maskFlushReq.map(a => a.valid && a.bits.wvec(line)).reduce(_ || _) 1168b1251e1SWilliam Wang ) 1178b1251e1SWilliam Wang line_mask_clean_flag.suggestName("line_mask_clean_flag_"+line) 1188b1251e1SWilliam Wang when(line_mask_clean_flag){ 119cdbff57cSHaoyuan Feng for(word <- 0 until CacheLineVWords){ 120cdbff57cSHaoyuan Feng for(byte <- 0 until VDataBytes){ 1218b1251e1SWilliam Wang mask(line)(word)(byte) := false.B 1228b1251e1SWilliam Wang } 1238b1251e1SWilliam Wang } 1248b1251e1SWilliam Wang } 1258b1251e1SWilliam Wang } 126ad3ba452Szhanglinjuan 1273d3419b9SWilliam Wang // 2 cycle data / mask update 12846f74b57SHaojin Tang for(i <- 0 until EnsbufferWidth) { 1293d3419b9SWilliam Wang val req = io.writeReq(i) 13067c26c34SWilliam Wang for(line <- 0 until StoreBufferSize){ 1313d3419b9SWilliam Wang val sbuffer_in_s1_line_wen = req.valid && req.bits.wvec(line) 1323d3419b9SWilliam Wang val sbuffer_in_s2_line_wen = RegNext(sbuffer_in_s1_line_wen) 1333d3419b9SWilliam Wang val line_write_buffer_data = RegEnable(req.bits.data, sbuffer_in_s1_line_wen) 1343d3419b9SWilliam Wang val line_write_buffer_wline = RegEnable(req.bits.wline, sbuffer_in_s1_line_wen) 1353d3419b9SWilliam Wang val line_write_buffer_mask = RegEnable(req.bits.mask, sbuffer_in_s1_line_wen) 136cdbff57cSHaoyuan Feng val line_write_buffer_offset = RegEnable(req.bits.vwordOffset(VWordsWidth-1, 0), sbuffer_in_s1_line_wen) 1373d3419b9SWilliam Wang sbuffer_in_s1_line_wen.suggestName("sbuffer_in_s1_line_wen_"+line) 1383d3419b9SWilliam Wang sbuffer_in_s2_line_wen.suggestName("sbuffer_in_s2_line_wen_"+line) 1393d3419b9SWilliam Wang line_write_buffer_data.suggestName("line_write_buffer_data_"+line) 1403d3419b9SWilliam Wang line_write_buffer_wline.suggestName("line_write_buffer_wline_"+line) 1413d3419b9SWilliam Wang line_write_buffer_mask.suggestName("line_write_buffer_mask_"+line) 1423d3419b9SWilliam Wang line_write_buffer_offset.suggestName("line_write_buffer_offset_"+line) 143cdbff57cSHaoyuan Feng for(word <- 0 until CacheLineVWords){ 144cdbff57cSHaoyuan Feng for(byte <- 0 until VDataBytes){ 1453d3419b9SWilliam Wang val write_byte = sbuffer_in_s2_line_wen && ( 1463d3419b9SWilliam Wang line_write_buffer_mask(byte) && (line_write_buffer_offset === word.U) || 1473d3419b9SWilliam Wang line_write_buffer_wline 14867c26c34SWilliam Wang ) 1493d3419b9SWilliam Wang when(write_byte){ 1503d3419b9SWilliam Wang data(line)(word)(byte) := line_write_buffer_data(byte*8+7, byte*8) 1513d3419b9SWilliam Wang mask(line)(word)(byte) := true.B 1523d3419b9SWilliam Wang } 1533d3419b9SWilliam Wang } 1543d3419b9SWilliam Wang } 1553d3419b9SWilliam Wang } 1563d3419b9SWilliam Wang } 1573d3419b9SWilliam Wang 1583d3419b9SWilliam Wang // 1 cycle line mask clean 1598b1251e1SWilliam Wang // for(i <- 0 until EnsbufferWidth) { 1608b1251e1SWilliam Wang // val req = io.writeReq(i) 1618b1251e1SWilliam Wang // when(req.valid){ 1628b1251e1SWilliam Wang // for(line <- 0 until StoreBufferSize){ 1638b1251e1SWilliam Wang // when( 1648b1251e1SWilliam Wang // req.bits.wvec(line) && 1658b1251e1SWilliam Wang // req.bits.cleanMask 1668b1251e1SWilliam Wang // ){ 1678b1251e1SWilliam Wang // for(word <- 0 until CacheLineWords){ 1688b1251e1SWilliam Wang // for(byte <- 0 until DataBytes){ 1698b1251e1SWilliam Wang // mask(line)(word)(byte) := false.B 1708b1251e1SWilliam Wang // val debug_last_cycle_write_byte = RegNext(req.valid && req.bits.wvec(line) && ( 1718b1251e1SWilliam Wang // req.bits.mask(byte) && (req.bits.wordOffset(WordsWidth-1, 0) === word.U) || 1728b1251e1SWilliam Wang // req.bits.wline 1738b1251e1SWilliam Wang // )) 1748b1251e1SWilliam Wang // assert(!debug_last_cycle_write_byte) 1758b1251e1SWilliam Wang // } 1768b1251e1SWilliam Wang // } 1778b1251e1SWilliam Wang // } 1788b1251e1SWilliam Wang // } 1798b1251e1SWilliam Wang // } 1808b1251e1SWilliam Wang // } 181ad3ba452Szhanglinjuan 182ad3ba452Szhanglinjuan io.dataOut := data 1833d3419b9SWilliam Wang io.maskOut := mask 184ad3ba452Szhanglinjuan} 185ad3ba452Szhanglinjuan 1861ca0e4f3SYinan Xuclass Sbuffer(implicit p: Parameters) extends DCacheModule with HasSbufferConst with HasPerfEvents { 187ad3ba452Szhanglinjuan val io = IO(new Bundle() { 188f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 1890d32f713Shappy-lx val in = Vec(EnsbufferWidth, Flipped(Decoupled(new DCacheWordReqWithVaddrAndPfFlag))) //Todo: store logic only support Width == 2 now 190ad3ba452Szhanglinjuan val dcache = Flipped(new DCacheToSbufferIO) 191ad3ba452Szhanglinjuan val forward = Vec(LoadPipelineWidth, Flipped(new LoadForwardQueryIO)) 192ad3ba452Szhanglinjuan val sqempty = Input(Bool()) 193ad3ba452Szhanglinjuan val flush = Flipped(new SbufferFlushBundle) 194ad3ba452Szhanglinjuan val csrCtrl = Flipped(new CustomCSRCtrlIO) 1950d32f713Shappy-lx val store_prefetch = Vec(StorePipelineWidth, DecoupledIO(new StorePrefetchReq)) // to dcache 1960d32f713Shappy-lx val memSetPattenDetected = Input(Bool()) 1972fdb4d6aShappy-lx val force_write = Input(Bool()) 198ad3ba452Szhanglinjuan }) 199ad3ba452Szhanglinjuan 200ad3ba452Szhanglinjuan val dataModule = Module(new SbufferData) 201ad3ba452Szhanglinjuan dataModule.io.writeReq <> DontCare 2020d32f713Shappy-lx val prefetcher = Module(new StorePfWrapper()) 203ad3ba452Szhanglinjuan val writeReq = dataModule.io.writeReq 204ad3ba452Szhanglinjuan 205ad3ba452Szhanglinjuan val ptag = Reg(Vec(StoreBufferSize, UInt(PTagWidth.W))) 206ad3ba452Szhanglinjuan val vtag = Reg(Vec(StoreBufferSize, UInt(VTagWidth.W))) 2073d3419b9SWilliam Wang val debug_mask = Reg(Vec(StoreBufferSize, Vec(CacheLineWords, Vec(DataBytes, Bool())))) 208a98b054bSWilliam Wang val waitInflightMask = Reg(Vec(StoreBufferSize, UInt(StoreBufferSize.W))) 209ad3ba452Szhanglinjuan val data = dataModule.io.dataOut 2103d3419b9SWilliam Wang val mask = dataModule.io.maskOut 211ad3ba452Szhanglinjuan val stateVec = RegInit(VecInit(Seq.fill(StoreBufferSize)(0.U.asTypeOf(new SbufferEntryState)))) 212ad3ba452Szhanglinjuan val cohCount = RegInit(VecInit(Seq.fill(StoreBufferSize)(0.U(EvictCountBits.W)))) 213ad3ba452Szhanglinjuan val missqReplayCount = RegInit(VecInit(Seq.fill(StoreBufferSize)(0.U(MissqReplayCountBits.W)))) 214ad3ba452Szhanglinjuan 21580382c05SWilliam Wang val sbuffer_out_s0_fire = Wire(Bool()) 21696b1e495SWilliam Wang 217ad3ba452Szhanglinjuan /* 218ad3ba452Szhanglinjuan idle --[flush] --> drain --[buf empty]--> idle 219ad3ba452Szhanglinjuan --[buf full]--> replace --[dcache resp]--> idle 220ad3ba452Szhanglinjuan */ 221ad3ba452Szhanglinjuan // x_drain_all: drain store queue and sbuffer 222ad3ba452Szhanglinjuan // x_drain_sbuffer: drain sbuffer only, block store queue to sbuffer write 223ad3ba452Szhanglinjuan val x_idle :: x_replace :: x_drain_all :: x_drain_sbuffer :: Nil = Enum(4) 224ad3ba452Szhanglinjuan def needDrain(state: UInt): Bool = 225ad3ba452Szhanglinjuan state(1) 226ad3ba452Szhanglinjuan val sbuffer_state = RegInit(x_idle) 227ad3ba452Szhanglinjuan 228ad3ba452Szhanglinjuan // ---------------------- Store Enq Sbuffer --------------------- 229ad3ba452Szhanglinjuan 230ad3ba452Szhanglinjuan def getPTag(pa: UInt): UInt = 231ad3ba452Szhanglinjuan pa(PAddrBits - 1, PAddrBits - PTagWidth) 232ad3ba452Szhanglinjuan 233ad3ba452Szhanglinjuan def getVTag(va: UInt): UInt = 234ad3ba452Szhanglinjuan va(VAddrBits - 1, VAddrBits - VTagWidth) 235ad3ba452Szhanglinjuan 236ad3ba452Szhanglinjuan def getWord(pa: UInt): UInt = 237ad3ba452Szhanglinjuan pa(PAddrBits-1, 3) 238ad3ba452Szhanglinjuan 239cdbff57cSHaoyuan Feng def getVWord(pa: UInt): UInt = 240cdbff57cSHaoyuan Feng pa(PAddrBits-1, 4) 241cdbff57cSHaoyuan Feng 242ad3ba452Szhanglinjuan def getWordOffset(pa: UInt): UInt = 243ad3ba452Szhanglinjuan pa(OffsetWidth-1, 3) 244ad3ba452Szhanglinjuan 245cdbff57cSHaoyuan Feng def getVWordOffset(pa: UInt): UInt = 246cdbff57cSHaoyuan Feng pa(OffsetWidth-1, 4) 247cdbff57cSHaoyuan Feng 248ad3ba452Szhanglinjuan def getAddr(ptag: UInt): UInt = 249ad3ba452Szhanglinjuan Cat(ptag, 0.U((PAddrBits - PTagWidth).W)) 250ad3ba452Szhanglinjuan 251ad3ba452Szhanglinjuan def getByteOffset(offect: UInt): UInt = 252ad3ba452Szhanglinjuan Cat(offect(OffsetWidth - 1, 3), 0.U(3.W)) 253ad3ba452Szhanglinjuan 254ad3ba452Szhanglinjuan def isOneOf(key: UInt, seq: Seq[UInt]): Bool = 255935edac4STang Haojin if(seq.isEmpty) false.B else Cat(seq.map(_===key)).orR 256ad3ba452Szhanglinjuan 257ad3ba452Szhanglinjuan def widthMap[T <: Data](f: Int => T) = (0 until StoreBufferSize) map f 258ad3ba452Szhanglinjuan 259ad3ba452Szhanglinjuan // sbuffer entry count 260ad3ba452Szhanglinjuan 2612fdb4d6aShappy-lx val plru = new ValidPseudoLRU(StoreBufferSize) 26246f74b57SHaojin Tang val accessIdx = Wire(Vec(EnsbufferWidth + 1, Valid(UInt(SbufferIndexWidth.W)))) 263ad3ba452Szhanglinjuan 264d2b20d1aSTang Haojin val candidateVec = VecInit(stateVec.map(s => s.isDcacheReqCandidate())) 265d2b20d1aSTang Haojin 2662fdb4d6aShappy-lx val replaceAlgoIdx = plru.way(candidateVec.reverse)._2 267d2b20d1aSTang Haojin val replaceAlgoNotDcacheCandidate = !stateVec(replaceAlgoIdx).isDcacheReqCandidate() 268d2b20d1aSTang Haojin 269935edac4STang Haojin assert(!(candidateVec.asUInt.orR && replaceAlgoNotDcacheCandidate), "we have way to select, but replace algo selects invalid way") 2702fdb4d6aShappy-lx 2712fdb4d6aShappy-lx val replaceIdx = replaceAlgoIdx 272ad3ba452Szhanglinjuan plru.access(accessIdx) 273ad3ba452Szhanglinjuan 274ad3ba452Szhanglinjuan //-------------------------cohCount----------------------------- 275ad3ba452Szhanglinjuan // insert and merge: cohCount=0 276ad3ba452Szhanglinjuan // every cycle cohCount+=1 277ad3ba452Szhanglinjuan // if cohCount(EvictCountBits-1)==1, evict 278ad3ba452Szhanglinjuan val cohTimeOutMask = VecInit(widthMap(i => cohCount(i)(EvictCountBits - 1) && stateVec(i).isActive())) 279ad3ba452Szhanglinjuan val (cohTimeOutIdx, cohHasTimeOut) = PriorityEncoderWithFlag(cohTimeOutMask) 280779faf12SWilliam Wang val cohTimeOutOH = PriorityEncoderOH(cohTimeOutMask) 281ad3ba452Szhanglinjuan val missqReplayTimeOutMask = VecInit(widthMap(i => missqReplayCount(i)(MissqReplayCountBits - 1) && stateVec(i).w_timeout)) 282779faf12SWilliam Wang val (missqReplayTimeOutIdxGen, missqReplayHasTimeOutGen) = PriorityEncoderWithFlag(missqReplayTimeOutMask) 283779faf12SWilliam Wang val missqReplayHasTimeOut = RegNext(missqReplayHasTimeOutGen) && !RegNext(sbuffer_out_s0_fire) 284779faf12SWilliam Wang val missqReplayTimeOutIdx = RegEnable(missqReplayTimeOutIdxGen, missqReplayHasTimeOutGen) 285ad3ba452Szhanglinjuan 2863d3419b9SWilliam Wang //-------------------------sbuffer enqueue----------------------------- 2873d3419b9SWilliam Wang 2883d3419b9SWilliam Wang // Now sbuffer enq logic is divided into 3 stages: 2893d3419b9SWilliam Wang 2903d3419b9SWilliam Wang // sbuffer_in_s0: 2913d3419b9SWilliam Wang // * read data and meta from store queue 2923d3419b9SWilliam Wang // * store them in 2 entry fifo queue 2933d3419b9SWilliam Wang 2943d3419b9SWilliam Wang // sbuffer_in_s1: 2953d3419b9SWilliam Wang // * read data and meta from fifo queue 2963d3419b9SWilliam Wang // * update sbuffer meta (vtag, ptag, flag) 2973d3419b9SWilliam Wang // * prevert that line from being sent to dcache (add a block condition) 2983d3419b9SWilliam Wang // * prepare cacheline level write enable signal, RegNext() data and mask 2993d3419b9SWilliam Wang 3003d3419b9SWilliam Wang // sbuffer_in_s2: 3013d3419b9SWilliam Wang // * use cacheline level buffer to update sbuffer data and mask 3023d3419b9SWilliam Wang // * remove dcache write block (if there is) 3033d3419b9SWilliam Wang 304ad3ba452Szhanglinjuan val activeMask = VecInit(stateVec.map(s => s.isActive())) 305d2b20d1aSTang Haojin val validMask = VecInit(stateVec.map(s => s.isValid())) 306ad3ba452Szhanglinjuan val drainIdx = PriorityEncoder(activeMask) 307ad3ba452Szhanglinjuan 308ad3ba452Szhanglinjuan val inflightMask = VecInit(stateVec.map(s => s.isInflight())) 309ad3ba452Szhanglinjuan 310ad3ba452Szhanglinjuan val inptags = io.in.map(in => getPTag(in.bits.addr)) 311ad3ba452Szhanglinjuan val invtags = io.in.map(in => getVTag(in.bits.vaddr)) 312db7f55d9SWilliam Wang val sameTag = inptags(0) === inptags(1) 313cdbff57cSHaoyuan Feng val firstWord = getVWord(io.in(0).bits.addr) 314cdbff57cSHaoyuan Feng val secondWord = getVWord(io.in(1).bits.addr) 315ad3ba452Szhanglinjuan // merge condition 31646f74b57SHaojin Tang val mergeMask = Wire(Vec(EnsbufferWidth, Vec(StoreBufferSize, Bool()))) 31767c26c34SWilliam Wang val mergeIdx = mergeMask.map(PriorityEncoder(_)) // avoid using mergeIdx for better timing 318ad3ba452Szhanglinjuan val canMerge = mergeMask.map(ParallelOR(_)) 31967c26c34SWilliam Wang val mergeVec = mergeMask.map(_.asUInt) 320ad3ba452Szhanglinjuan 32146f74b57SHaojin Tang for(i <- 0 until EnsbufferWidth){ 322ad3ba452Szhanglinjuan mergeMask(i) := widthMap(j => 323ad3ba452Szhanglinjuan inptags(i) === ptag(j) && activeMask(j) 324ad3ba452Szhanglinjuan ) 325935edac4STang Haojin assert(!(PopCount(mergeMask(i).asUInt) > 1.U && io.in(i).fire)) 326ad3ba452Szhanglinjuan } 327ad3ba452Szhanglinjuan 328ad3ba452Szhanglinjuan // insert condition 329ad3ba452Szhanglinjuan // firstInsert: the first invalid entry 330ad3ba452Szhanglinjuan // if first entry canMerge or second entry has the same ptag with the first entry, 331ad3ba452Szhanglinjuan // secondInsert equal the first invalid entry, otherwise, the second invalid entry 332ad3ba452Szhanglinjuan val invalidMask = VecInit(stateVec.map(s => s.isInvalid())) 333db7f55d9SWilliam Wang val evenInvalidMask = GetEvenBits(invalidMask.asUInt) 334db7f55d9SWilliam Wang val oddInvalidMask = GetOddBits(invalidMask.asUInt) 335ad3ba452Szhanglinjuan 33667c26c34SWilliam Wang def getFirstOneOH(input: UInt): UInt = { 33767c26c34SWilliam Wang assert(input.getWidth > 1) 33867c26c34SWilliam Wang val output = WireInit(VecInit(input.asBools)) 33967c26c34SWilliam Wang (1 until input.getWidth).map(i => { 34067c26c34SWilliam Wang output(i) := !input(i - 1, 0).orR && input(i) 34167c26c34SWilliam Wang }) 34267c26c34SWilliam Wang output.asUInt 34367c26c34SWilliam Wang } 34467c26c34SWilliam Wang 345db7f55d9SWilliam Wang val evenRawInsertVec = getFirstOneOH(evenInvalidMask) 346db7f55d9SWilliam Wang val oddRawInsertVec = getFirstOneOH(oddInvalidMask) 347db7f55d9SWilliam Wang val (evenRawInsertIdx, evenCanInsert) = PriorityEncoderWithFlag(evenInvalidMask) 348db7f55d9SWilliam Wang val (oddRawInsertIdx, oddCanInsert) = PriorityEncoderWithFlag(oddInvalidMask) 349db7f55d9SWilliam Wang val evenInsertIdx = Cat(evenRawInsertIdx, 0.U(1.W)) // slow to generate, for debug only 350db7f55d9SWilliam Wang val oddInsertIdx = Cat(oddRawInsertIdx, 1.U(1.W)) // slow to generate, for debug only 351db7f55d9SWilliam Wang val evenInsertVec = GetEvenBits.reverse(evenRawInsertVec) 352db7f55d9SWilliam Wang val oddInsertVec = GetOddBits.reverse(oddRawInsertVec) 353ad3ba452Szhanglinjuan 354db7f55d9SWilliam Wang val enbufferSelReg = RegInit(false.B) 355db7f55d9SWilliam Wang when(io.in(0).valid) { 356db7f55d9SWilliam Wang enbufferSelReg := ~enbufferSelReg 357ad3ba452Szhanglinjuan } 358ad3ba452Szhanglinjuan 359db7f55d9SWilliam Wang val firstInsertIdx = Mux(enbufferSelReg, evenInsertIdx, oddInsertIdx) // slow to generate, for debug only 360db7f55d9SWilliam Wang val secondInsertIdx = Mux(sameTag, 361db7f55d9SWilliam Wang firstInsertIdx, 362db7f55d9SWilliam Wang Mux(~enbufferSelReg, evenInsertIdx, oddInsertIdx) 36367c26c34SWilliam Wang ) // slow to generate, for debug only 364db7f55d9SWilliam Wang val firstInsertVec = Mux(enbufferSelReg, evenInsertVec, oddInsertVec) 365db7f55d9SWilliam Wang val secondInsertVec = Mux(sameTag, 366db7f55d9SWilliam Wang firstInsertVec, 367db7f55d9SWilliam Wang Mux(~enbufferSelReg, evenInsertVec, oddInsertVec) 36867c26c34SWilliam Wang ) // slow to generate, for debug only 369db7f55d9SWilliam Wang val firstCanInsert = sbuffer_state =/= x_drain_sbuffer && Mux(enbufferSelReg, evenCanInsert, oddCanInsert) 370db7f55d9SWilliam Wang val secondCanInsert = sbuffer_state =/= x_drain_sbuffer && Mux(sameTag, 371db7f55d9SWilliam Wang firstCanInsert, 372db7f55d9SWilliam Wang Mux(~enbufferSelReg, evenCanInsert, oddCanInsert) 373db7f55d9SWilliam Wang ) && (EnsbufferWidth >= 1).B 37496b1e495SWilliam Wang val forward_need_uarch_drain = WireInit(false.B) 37596b1e495SWilliam Wang val merge_need_uarch_drain = WireInit(false.B) 37696b1e495SWilliam Wang val do_uarch_drain = RegNext(forward_need_uarch_drain) || RegNext(RegNext(merge_need_uarch_drain)) 377ad3ba452Szhanglinjuan XSPerfAccumulate("do_uarch_drain", do_uarch_drain) 378ad3ba452Szhanglinjuan 379db7f55d9SWilliam Wang io.in(0).ready := firstCanInsert 38045a77344SHaoyuan Feng io.in(1).ready := secondCanInsert && io.in(0).ready 381ad3ba452Szhanglinjuan 3820d32f713Shappy-lx for (i <- 0 until EnsbufferWidth) { 3830d32f713Shappy-lx // train 3840d32f713Shappy-lx if (EnableStorePrefetchSPB) { 3850d32f713Shappy-lx prefetcher.io.sbuffer_enq(i).valid := io.in(i).fire 3860d32f713Shappy-lx prefetcher.io.sbuffer_enq(i).bits := DontCare 3870d32f713Shappy-lx prefetcher.io.sbuffer_enq(i).bits.vaddr := io.in(i).bits.vaddr 3880d32f713Shappy-lx } else { 3890d32f713Shappy-lx prefetcher.io.sbuffer_enq(i).valid := false.B 3900d32f713Shappy-lx prefetcher.io.sbuffer_enq(i).bits := DontCare 3910d32f713Shappy-lx } 3920d32f713Shappy-lx 3930d32f713Shappy-lx // prefetch req 3940d32f713Shappy-lx if (EnableStorePrefetchAtCommit) { 3950d32f713Shappy-lx if (EnableAtCommitMissTrigger) { 3960d32f713Shappy-lx io.store_prefetch(i).valid := prefetcher.io.prefetch_req(i).valid || (io.in(i).fire && io.in(i).bits.prefetch) 3970d32f713Shappy-lx } else { 3980d32f713Shappy-lx io.store_prefetch(i).valid := prefetcher.io.prefetch_req(i).valid || io.in(i).fire 3990d32f713Shappy-lx } 4000d32f713Shappy-lx io.store_prefetch(i).bits.paddr := DontCare 4010d32f713Shappy-lx io.store_prefetch(i).bits.vaddr := Mux(prefetcher.io.prefetch_req(i).valid, prefetcher.io.prefetch_req(i).bits.vaddr, io.in(i).bits.vaddr) 4020d32f713Shappy-lx prefetcher.io.prefetch_req(i).ready := io.store_prefetch(i).ready 4030d32f713Shappy-lx } else { 4040d32f713Shappy-lx io.store_prefetch(i) <> prefetcher.io.prefetch_req(i) 4050d32f713Shappy-lx } 406202674aeSHaojin Tang io.store_prefetch zip prefetcher.io.prefetch_req drop 2 foreach (x => x._1 <> x._2) 4070d32f713Shappy-lx } 4080d32f713Shappy-lx prefetcher.io.memSetPattenDetected := io.memSetPattenDetected 4090d32f713Shappy-lx 4103d3419b9SWilliam Wang def wordReqToBufLine( // allocate a new line in sbuffer 4113d3419b9SWilliam Wang req: DCacheWordReq, 4123d3419b9SWilliam Wang reqptag: UInt, 4133d3419b9SWilliam Wang reqvtag: UInt, 4143d3419b9SWilliam Wang insertIdx: UInt, 4153d3419b9SWilliam Wang insertVec: UInt, 4168b1251e1SWilliam Wang wordOffset: UInt 4173d3419b9SWilliam Wang ): Unit = { 41867c26c34SWilliam Wang assert(UIntToOH(insertIdx) === insertVec) 419a98b054bSWilliam Wang val sameBlockInflightMask = genSameBlockInflightMask(reqptag) 42067c26c34SWilliam Wang (0 until StoreBufferSize).map(entryIdx => { 42167c26c34SWilliam Wang when(insertVec(entryIdx)){ 42267c26c34SWilliam Wang stateVec(entryIdx).state_valid := true.B 42367c26c34SWilliam Wang stateVec(entryIdx).w_sameblock_inflight := sameBlockInflightMask.orR // set w_sameblock_inflight when a line is first allocated 424a98b054bSWilliam Wang when(sameBlockInflightMask.orR){ 42567c26c34SWilliam Wang waitInflightMask(entryIdx) := sameBlockInflightMask 426a98b054bSWilliam Wang } 42767c26c34SWilliam Wang cohCount(entryIdx) := 0.U 42896b1e495SWilliam Wang // missqReplayCount(insertIdx) := 0.U 42967c26c34SWilliam Wang ptag(entryIdx) := reqptag 430cdbff57cSHaoyuan Feng vtag(entryIdx) := reqvtag // update vtag if a new sbuffer line is allocated 431ad3ba452Szhanglinjuan } 43267c26c34SWilliam Wang }) 43367c26c34SWilliam Wang } 434ad3ba452Szhanglinjuan 4353d3419b9SWilliam Wang def mergeWordReq( // merge write req into an existing line 4363d3419b9SWilliam Wang req: DCacheWordReq, 4373d3419b9SWilliam Wang reqptag: UInt, 4383d3419b9SWilliam Wang reqvtag: UInt, 4393d3419b9SWilliam Wang mergeIdx: UInt, 4403d3419b9SWilliam Wang mergeVec: UInt, 4413d3419b9SWilliam Wang wordOffset: UInt 4423d3419b9SWilliam Wang ): Unit = { 44367c26c34SWilliam Wang assert(UIntToOH(mergeIdx) === mergeVec) 44467c26c34SWilliam Wang (0 until StoreBufferSize).map(entryIdx => { 44567c26c34SWilliam Wang when(mergeVec(entryIdx)) { 44667c26c34SWilliam Wang cohCount(entryIdx) := 0.U 44767c26c34SWilliam Wang // missqReplayCount(entryIdx) := 0.U 448ad3ba452Szhanglinjuan // check if vtag is the same, if not, trigger sbuffer flush 44967c26c34SWilliam Wang when(reqvtag =/= vtag(entryIdx)) { 450ad3ba452Szhanglinjuan XSDebug("reqvtag =/= sbufvtag req(vtag %x ptag %x) sbuffer(vtag %x ptag %x)\n", 451ad3ba452Szhanglinjuan reqvtag << OffsetWidth, 452ad3ba452Szhanglinjuan reqptag << OffsetWidth, 45367c26c34SWilliam Wang vtag(entryIdx) << OffsetWidth, 45467c26c34SWilliam Wang ptag(entryIdx) << OffsetWidth 455ad3ba452Szhanglinjuan ) 45696b1e495SWilliam Wang merge_need_uarch_drain := true.B 457ad3ba452Szhanglinjuan } 458ad3ba452Szhanglinjuan } 45967c26c34SWilliam Wang }) 46067c26c34SWilliam Wang } 461ad3ba452Szhanglinjuan 462cdbff57cSHaoyuan Feng for(((in, vwordOffset), i) <- io.in.zip(Seq(firstWord, secondWord)).zipWithIndex){ 463935edac4STang Haojin writeReq(i).valid := in.fire 464cdbff57cSHaoyuan Feng writeReq(i).bits.vwordOffset := vwordOffset 465ad3ba452Szhanglinjuan writeReq(i).bits.mask := in.bits.mask 466ad3ba452Szhanglinjuan writeReq(i).bits.data := in.bits.data 467ca18a0b4SWilliam Wang writeReq(i).bits.wline := in.bits.wline 4683d3419b9SWilliam Wang val debug_insertIdx = if(i == 0) firstInsertIdx else secondInsertIdx 4693d3419b9SWilliam Wang val insertVec = if(i == 0) firstInsertVec else secondInsertVec 470935edac4STang Haojin assert(!((PopCount(insertVec) > 1.U) && in.fire)) 47167c26c34SWilliam Wang val insertIdx = OHToUInt(insertVec) 472935edac4STang Haojin accessIdx(i).valid := RegNext(in.fire) 473ad3ba452Szhanglinjuan accessIdx(i).bits := RegNext(Mux(canMerge(i), mergeIdx(i), insertIdx)) 474935edac4STang Haojin when(in.fire){ 475ad3ba452Szhanglinjuan when(canMerge(i)){ 47667c26c34SWilliam Wang writeReq(i).bits.wvec := mergeVec(i) 477cdbff57cSHaoyuan Feng mergeWordReq(in.bits, inptags(i), invtags(i), mergeIdx(i), mergeVec(i), vwordOffset) 478ad3ba452Szhanglinjuan XSDebug(p"merge req $i to line [${mergeIdx(i)}]\n") 479ad3ba452Szhanglinjuan }.otherwise({ 48067c26c34SWilliam Wang writeReq(i).bits.wvec := insertVec 481cdbff57cSHaoyuan Feng wordReqToBufLine(in.bits, inptags(i), invtags(i), insertIdx, insertVec, vwordOffset) 482ad3ba452Szhanglinjuan XSDebug(p"insert req $i to line[$insertIdx]\n") 48367c26c34SWilliam Wang assert(debug_insertIdx === insertIdx) 484ad3ba452Szhanglinjuan }) 485ad3ba452Szhanglinjuan } 486ad3ba452Szhanglinjuan } 487ad3ba452Szhanglinjuan 488ad3ba452Szhanglinjuan 489ad3ba452Szhanglinjuan for(i <- 0 until StoreBufferSize){ 490ad3ba452Szhanglinjuan XSDebug(stateVec(i).isValid(), 491ad3ba452Szhanglinjuan p"[$i] timeout:${cohCount(i)(EvictCountBits-1)} state:${stateVec(i)}\n" 492ad3ba452Szhanglinjuan ) 493ad3ba452Szhanglinjuan } 494ad3ba452Szhanglinjuan 495ad3ba452Szhanglinjuan for((req, i) <- io.in.zipWithIndex){ 496935edac4STang Haojin XSDebug(req.fire, 497ad3ba452Szhanglinjuan p"accept req [$i]: " + 498ad3ba452Szhanglinjuan p"addr:${Hexadecimal(req.bits.addr)} " + 499cdbff57cSHaoyuan Feng p"mask:${Binary(shiftMaskToLow(req.bits.addr,req.bits.mask))} " + 500cdbff57cSHaoyuan Feng p"data:${Hexadecimal(shiftDataToLow(req.bits.addr,req.bits.data))}\n" 501ad3ba452Szhanglinjuan ) 502ad3ba452Szhanglinjuan XSDebug(req.valid && !req.ready, 503ad3ba452Szhanglinjuan p"req [$i] blocked by sbuffer\n" 504ad3ba452Szhanglinjuan ) 505ad3ba452Szhanglinjuan } 506ad3ba452Szhanglinjuan 5070d32f713Shappy-lx // for now, when enq, trigger a prefetch (if EnableAtCommitMissTrigger) 508202674aeSHaojin Tang require(EnsbufferWidth <= StorePipelineWidth) 5090d32f713Shappy-lx 510ad3ba452Szhanglinjuan // ---------------------- Send Dcache Req --------------------- 511ad3ba452Szhanglinjuan 512935edac4STang Haojin val sbuffer_empty = Cat(invalidMask).andR 513935edac4STang Haojin val sq_empty = !Cat(io.in.map(_.valid)).orR 514ad3ba452Szhanglinjuan val empty = sbuffer_empty && sq_empty 5152fdb4d6aShappy-lx val threshold = Wire(UInt(5.W)) // RegNext(io.csrCtrl.sbuffer_threshold +& 1.U) 5162fdb4d6aShappy-lx threshold := Constantin.createRecord("StoreBufferThreshold_"+p(XSCoreParamsKey).HartId.toString(), initValue = 7.U) 5172fdb4d6aShappy-lx val base = Wire(UInt(5.W)) 5182fdb4d6aShappy-lx base := Constantin.createRecord("StoreBufferBase_"+p(XSCoreParamsKey).HartId.toString(), initValue = 4.U) 519d2b20d1aSTang Haojin val ActiveCount = PopCount(activeMask) 520d2b20d1aSTang Haojin val ValidCount = PopCount(validMask) 5212fdb4d6aShappy-lx val forceThreshold = Mux(io.force_write, threshold - base, threshold) 5222fdb4d6aShappy-lx val do_eviction = RegNext(ActiveCount >= forceThreshold || ActiveCount === (StoreBufferSize-1).U || ValidCount === (StoreBufferSize).U, init = false.B) 523ad3ba452Szhanglinjuan require((StoreBufferThreshold + 1) <= StoreBufferSize) 524ad3ba452Szhanglinjuan 525d2b20d1aSTang Haojin XSDebug(p"ActiveCount[$ActiveCount]\n") 526ad3ba452Szhanglinjuan 527ad3ba452Szhanglinjuan io.flush.empty := RegNext(empty && io.sqempty) 528ad3ba452Szhanglinjuan // lru.io.flush := sbuffer_state === x_drain_all && empty 529ad3ba452Szhanglinjuan switch(sbuffer_state){ 530ad3ba452Szhanglinjuan is(x_idle){ 531ad3ba452Szhanglinjuan when(io.flush.valid){ 532ad3ba452Szhanglinjuan sbuffer_state := x_drain_all 533ad3ba452Szhanglinjuan }.elsewhen(do_uarch_drain){ 534ad3ba452Szhanglinjuan sbuffer_state := x_drain_sbuffer 535ad3ba452Szhanglinjuan }.elsewhen(do_eviction){ 536ad3ba452Szhanglinjuan sbuffer_state := x_replace 537ad3ba452Szhanglinjuan } 538ad3ba452Szhanglinjuan } 539ad3ba452Szhanglinjuan is(x_drain_all){ 540ad3ba452Szhanglinjuan when(empty){ 541ad3ba452Szhanglinjuan sbuffer_state := x_idle 542ad3ba452Szhanglinjuan } 543ad3ba452Szhanglinjuan } 544ad3ba452Szhanglinjuan is(x_drain_sbuffer){ 545a98b054bSWilliam Wang when(io.flush.valid){ 546a98b054bSWilliam Wang sbuffer_state := x_drain_all 547a98b054bSWilliam Wang }.elsewhen(sbuffer_empty){ 548ad3ba452Szhanglinjuan sbuffer_state := x_idle 549ad3ba452Szhanglinjuan } 550ad3ba452Szhanglinjuan } 551ad3ba452Szhanglinjuan is(x_replace){ 552ad3ba452Szhanglinjuan when(io.flush.valid){ 553ad3ba452Szhanglinjuan sbuffer_state := x_drain_all 554ad3ba452Szhanglinjuan }.elsewhen(do_uarch_drain){ 555ad3ba452Szhanglinjuan sbuffer_state := x_drain_sbuffer 556ad3ba452Szhanglinjuan }.elsewhen(!do_eviction){ 557ad3ba452Szhanglinjuan sbuffer_state := x_idle 558ad3ba452Szhanglinjuan } 559ad3ba452Szhanglinjuan } 560ad3ba452Szhanglinjuan } 561ad3ba452Szhanglinjuan XSDebug(p"sbuffer state:${sbuffer_state} do eviction:${do_eviction} empty:${empty}\n") 562ad3ba452Szhanglinjuan 563ad3ba452Szhanglinjuan def noSameBlockInflight(idx: UInt): Bool = { 564ad3ba452Szhanglinjuan // stateVec(idx) itself must not be s_inflight 565935edac4STang Haojin !Cat(widthMap(i => inflightMask(i) && ptag(idx) === ptag(i))).orR 566ad3ba452Szhanglinjuan } 567ad3ba452Szhanglinjuan 568a98b054bSWilliam Wang def genSameBlockInflightMask(ptag_in: UInt): UInt = { 569a98b054bSWilliam Wang val mask = VecInit(widthMap(i => inflightMask(i) && ptag_in === ptag(i))).asUInt // quite slow, use it with care 570a98b054bSWilliam Wang assert(!(PopCount(mask) > 1.U)) 571a98b054bSWilliam Wang mask 572a98b054bSWilliam Wang } 573a98b054bSWilliam Wang 574a98b054bSWilliam Wang def haveSameBlockInflight(ptag_in: UInt): Bool = { 575a98b054bSWilliam Wang genSameBlockInflightMask(ptag_in).orR 576a98b054bSWilliam Wang } 577a98b054bSWilliam Wang 57880382c05SWilliam Wang // --------------------------------------------------------------------------- 57980382c05SWilliam Wang // sbuffer to dcache pipeline 58080382c05SWilliam Wang // --------------------------------------------------------------------------- 58180382c05SWilliam Wang 5823d3419b9SWilliam Wang // Now sbuffer deq logic is divided into 2 stages: 5833d3419b9SWilliam Wang 5843d3419b9SWilliam Wang // sbuffer_out_s0: 5853d3419b9SWilliam Wang // * read data and meta from sbuffer 5863d3419b9SWilliam Wang // * RegNext() them 5873d3419b9SWilliam Wang // * set line state to inflight 5883d3419b9SWilliam Wang 5893d3419b9SWilliam Wang // sbuffer_out_s1: 5903d3419b9SWilliam Wang // * send write req to dcache 5913d3419b9SWilliam Wang 5923d3419b9SWilliam Wang // sbuffer_out_extra: 5933d3419b9SWilliam Wang // * receive write result from dcache 5943d3419b9SWilliam Wang // * update line state 5953d3419b9SWilliam Wang 59680382c05SWilliam Wang val sbuffer_out_s1_ready = Wire(Bool()) 59780382c05SWilliam Wang 59880382c05SWilliam Wang // --------------------------------------------------------------------------- 59980382c05SWilliam Wang // sbuffer_out_s0 60080382c05SWilliam Wang // --------------------------------------------------------------------------- 60180382c05SWilliam Wang 602ad3ba452Szhanglinjuan val need_drain = needDrain(sbuffer_state) 603ad3ba452Szhanglinjuan val need_replace = do_eviction || (sbuffer_state === x_replace) 60480382c05SWilliam Wang val sbuffer_out_s0_evictionIdx = Mux(missqReplayHasTimeOut, 605779faf12SWilliam Wang missqReplayTimeOutIdx, 606ad3ba452Szhanglinjuan Mux(need_drain, 607ad3ba452Szhanglinjuan drainIdx, 608ad3ba452Szhanglinjuan Mux(cohHasTimeOut, cohTimeOutIdx, replaceIdx) 609ad3ba452Szhanglinjuan ) 610ad3ba452Szhanglinjuan ) 611ad3ba452Szhanglinjuan 61280382c05SWilliam Wang // If there is a inflight dcache req which has same ptag with sbuffer_out_s0_evictionIdx's ptag, 61380382c05SWilliam Wang // current eviction should be blocked. 61480382c05SWilliam Wang val sbuffer_out_s0_valid = missqReplayHasTimeOut || 61580382c05SWilliam Wang stateVec(sbuffer_out_s0_evictionIdx).isDcacheReqCandidate() && 61680382c05SWilliam Wang (need_drain || cohHasTimeOut || need_replace) 61780382c05SWilliam Wang assert(!( 61880382c05SWilliam Wang stateVec(sbuffer_out_s0_evictionIdx).isDcacheReqCandidate && 61980382c05SWilliam Wang !noSameBlockInflight(sbuffer_out_s0_evictionIdx) 62080382c05SWilliam Wang )) 62180382c05SWilliam Wang val sbuffer_out_s0_cango = sbuffer_out_s1_ready 62280382c05SWilliam Wang sbuffer_out_s0_fire := sbuffer_out_s0_valid && sbuffer_out_s0_cango 62380382c05SWilliam Wang 62480382c05SWilliam Wang // --------------------------------------------------------------------------- 62580382c05SWilliam Wang // sbuffer_out_s1 62680382c05SWilliam Wang // --------------------------------------------------------------------------- 62780382c05SWilliam Wang 6283d3419b9SWilliam Wang // TODO: use EnsbufferWidth 629779faf12SWilliam Wang val shouldWaitWriteFinish = RegNext(VecInit((0 until EnsbufferWidth).map{i => 630779faf12SWilliam Wang (writeReq(i).bits.wvec.asUInt & UIntToOH(sbuffer_out_s0_evictionIdx).asUInt).orR && 631779faf12SWilliam Wang writeReq(i).valid 632779faf12SWilliam Wang }).asUInt.orR) 6333d3419b9SWilliam Wang // block dcache write if read / write hazard 6343d3419b9SWilliam Wang val blockDcacheWrite = shouldWaitWriteFinish 6353d3419b9SWilliam Wang 63680382c05SWilliam Wang val sbuffer_out_s1_valid = RegInit(false.B) 6373d3419b9SWilliam Wang sbuffer_out_s1_ready := io.dcache.req.ready && !blockDcacheWrite || !sbuffer_out_s1_valid 638935edac4STang Haojin val sbuffer_out_s1_fire = io.dcache.req.fire 63980382c05SWilliam Wang 64080382c05SWilliam Wang // when sbuffer_out_s1_fire, send dcache req stored in pipeline reg to dcache 64180382c05SWilliam Wang when(sbuffer_out_s1_fire){ 64280382c05SWilliam Wang sbuffer_out_s1_valid := false.B 643ad3ba452Szhanglinjuan } 64480382c05SWilliam Wang // when sbuffer_out_s0_fire, read dcache req data and store them in a pipeline reg 64580382c05SWilliam Wang when(sbuffer_out_s0_cango){ 64680382c05SWilliam Wang sbuffer_out_s1_valid := sbuffer_out_s0_valid 647ad3ba452Szhanglinjuan } 64880382c05SWilliam Wang when(sbuffer_out_s0_fire){ 64980382c05SWilliam Wang stateVec(sbuffer_out_s0_evictionIdx).state_inflight := true.B 65080382c05SWilliam Wang stateVec(sbuffer_out_s0_evictionIdx).w_timeout := false.B 65180382c05SWilliam Wang // stateVec(sbuffer_out_s0_evictionIdx).s_pipe_req := true.B 65280382c05SWilliam Wang XSDebug(p"$sbuffer_out_s0_evictionIdx will be sent to Dcache\n") 653ad3ba452Szhanglinjuan } 65480382c05SWilliam Wang 655ad3ba452Szhanglinjuan XSDebug(p"need drain:$need_drain cohHasTimeOut: $cohHasTimeOut need replace:$need_replace\n") 656ad3ba452Szhanglinjuan XSDebug(p"drainIdx:$drainIdx tIdx:$cohTimeOutIdx replIdx:$replaceIdx " + 65780382c05SWilliam Wang p"blocked:${!noSameBlockInflight(sbuffer_out_s0_evictionIdx)} v:${activeMask(sbuffer_out_s0_evictionIdx)}\n") 65880382c05SWilliam Wang XSDebug(p"sbuffer_out_s0_valid:$sbuffer_out_s0_valid evictIdx:$sbuffer_out_s0_evictionIdx dcache ready:${io.dcache.req.ready}\n") 659ad3ba452Szhanglinjuan // Note: if other dcache req in the same block are inflight, 660ad3ba452Szhanglinjuan // the lru update may not accurate 66146f74b57SHaojin Tang accessIdx(EnsbufferWidth).valid := invalidMask(replaceIdx) || ( 66280382c05SWilliam Wang need_replace && !need_drain && !cohHasTimeOut && !missqReplayHasTimeOut && sbuffer_out_s0_cango && activeMask(replaceIdx)) 66346f74b57SHaojin Tang accessIdx(EnsbufferWidth).bits := replaceIdx 664935edac4STang Haojin val sbuffer_out_s1_evictionIdx = RegEnable(sbuffer_out_s0_evictionIdx, sbuffer_out_s0_fire) 665935edac4STang Haojin val sbuffer_out_s1_evictionPTag = RegEnable(ptag(sbuffer_out_s0_evictionIdx), sbuffer_out_s0_fire) 666935edac4STang Haojin val sbuffer_out_s1_evictionVTag = RegEnable(vtag(sbuffer_out_s0_evictionIdx), sbuffer_out_s0_fire) 667ad3ba452Szhanglinjuan 6683d3419b9SWilliam Wang io.dcache.req.valid := sbuffer_out_s1_valid && !blockDcacheWrite 669ad3ba452Szhanglinjuan io.dcache.req.bits := DontCare 670ad3ba452Szhanglinjuan io.dcache.req.bits.cmd := MemoryOpConstants.M_XWR 67180382c05SWilliam Wang io.dcache.req.bits.addr := getAddr(sbuffer_out_s1_evictionPTag) 67280382c05SWilliam Wang io.dcache.req.bits.vaddr := getAddr(sbuffer_out_s1_evictionVTag) 67380382c05SWilliam Wang io.dcache.req.bits.data := data(sbuffer_out_s1_evictionIdx).asUInt 67480382c05SWilliam Wang io.dcache.req.bits.mask := mask(sbuffer_out_s1_evictionIdx).asUInt 67580382c05SWilliam Wang io.dcache.req.bits.id := sbuffer_out_s1_evictionIdx 676ad3ba452Szhanglinjuan 67780382c05SWilliam Wang when (sbuffer_out_s1_fire) { 678ad3ba452Szhanglinjuan assert(!(io.dcache.req.bits.vaddr === 0.U)) 679ad3ba452Szhanglinjuan assert(!(io.dcache.req.bits.addr === 0.U)) 680ad3ba452Szhanglinjuan } 681ad3ba452Szhanglinjuan 68280382c05SWilliam Wang XSDebug(sbuffer_out_s1_fire, 68380382c05SWilliam Wang p"send buf [$sbuffer_out_s1_evictionIdx] to Dcache, req fire\n" 684ad3ba452Szhanglinjuan ) 685ad3ba452Szhanglinjuan 686ad3ba452Szhanglinjuan // update sbuffer status according to dcache resp source 687ad3ba452Szhanglinjuan 688a98b054bSWilliam Wang def id_to_sbuffer_id(id: UInt): UInt = { 689a98b054bSWilliam Wang require(id.getWidth >= log2Up(StoreBufferSize)) 690a98b054bSWilliam Wang id(log2Up(StoreBufferSize)-1, 0) 691a98b054bSWilliam Wang } 692a98b054bSWilliam Wang 693ad3ba452Szhanglinjuan // hit resp 694ad3ba452Szhanglinjuan io.dcache.hit_resps.map(resp => { 695ad3ba452Szhanglinjuan val dcache_resp_id = resp.bits.id 696935edac4STang Haojin when (resp.fire) { 697ad3ba452Szhanglinjuan stateVec(dcache_resp_id).state_inflight := false.B 698ad3ba452Szhanglinjuan stateVec(dcache_resp_id).state_valid := false.B 699ad3ba452Szhanglinjuan assert(!resp.bits.replay) 700ad3ba452Szhanglinjuan assert(!resp.bits.miss) // not need to resp if miss, to be opted 701ad3ba452Szhanglinjuan assert(stateVec(dcache_resp_id).state_inflight === true.B) 702ad3ba452Szhanglinjuan } 703a98b054bSWilliam Wang 704a98b054bSWilliam Wang // Update w_sameblock_inflight flag is delayed for 1 cycle 705a98b054bSWilliam Wang // 706a98b054bSWilliam Wang // When a new req allocate a new line in sbuffer, sameblock_inflight check will ignore 707a98b054bSWilliam Wang // current dcache.hit_resps. Then, in the next cycle, we have plenty of time to check 708a98b054bSWilliam Wang // if the same block is still inflight 709a98b054bSWilliam Wang (0 until StoreBufferSize).map(i => { 710a98b054bSWilliam Wang when( 711a98b054bSWilliam Wang stateVec(i).w_sameblock_inflight && 712a98b054bSWilliam Wang stateVec(i).state_valid && 713935edac4STang Haojin RegNext(resp.fire) && 714a98b054bSWilliam Wang waitInflightMask(i) === UIntToOH(RegNext(id_to_sbuffer_id(dcache_resp_id))) 715a98b054bSWilliam Wang ){ 716a98b054bSWilliam Wang stateVec(i).w_sameblock_inflight := false.B 717a98b054bSWilliam Wang } 718ad3ba452Szhanglinjuan }) 719a98b054bSWilliam Wang }) 720a98b054bSWilliam Wang 7218b1251e1SWilliam Wang io.dcache.hit_resps.zip(dataModule.io.maskFlushReq).map{case (resp, maskFlush) => { 722935edac4STang Haojin maskFlush.valid := resp.fire 7238b1251e1SWilliam Wang maskFlush.bits.wvec := UIntToOH(resp.bits.id) 7248b1251e1SWilliam Wang }} 725ad3ba452Szhanglinjuan 726ad3ba452Szhanglinjuan // replay resp 727ad3ba452Szhanglinjuan val replay_resp_id = io.dcache.replay_resp.bits.id 728935edac4STang Haojin when (io.dcache.replay_resp.fire) { 729ad3ba452Szhanglinjuan missqReplayCount(replay_resp_id) := 0.U 730ad3ba452Szhanglinjuan stateVec(replay_resp_id).w_timeout := true.B 731ad3ba452Szhanglinjuan // waiting for timeout 732ad3ba452Szhanglinjuan assert(io.dcache.replay_resp.bits.replay) 733ad3ba452Szhanglinjuan assert(stateVec(replay_resp_id).state_inflight === true.B) 734ad3ba452Szhanglinjuan } 735ad3ba452Szhanglinjuan 736ad3ba452Szhanglinjuan // TODO: reuse cohCount 737ad3ba452Szhanglinjuan (0 until StoreBufferSize).map(i => { 738ad3ba452Szhanglinjuan when(stateVec(i).w_timeout && stateVec(i).state_inflight && !missqReplayCount(i)(MissqReplayCountBits-1)) { 739ad3ba452Szhanglinjuan missqReplayCount(i) := missqReplayCount(i) + 1.U 740ad3ba452Szhanglinjuan } 741ad3ba452Szhanglinjuan when(activeMask(i) && !cohTimeOutMask(i)){ 742ad3ba452Szhanglinjuan cohCount(i) := cohCount(i)+1.U 743ad3ba452Szhanglinjuan } 744ad3ba452Szhanglinjuan }) 745ad3ba452Szhanglinjuan 7461545277aSYinan Xu if (env.EnableDifftest) { 747ad3ba452Szhanglinjuan // hit resp 748ad3ba452Szhanglinjuan io.dcache.hit_resps.zipWithIndex.map{case (resp, index) => { 7497d45a146SYinan Xu val difftest = DifftestModule(new DiffSbufferEvent, delay = 1) 750ad3ba452Szhanglinjuan val dcache_resp_id = resp.bits.id 7517d45a146SYinan Xu difftest.coreid := io.hartId 7527d45a146SYinan Xu difftest.index := index.U 753935edac4STang Haojin difftest.valid := resp.fire 7547d45a146SYinan Xu difftest.addr := getAddr(ptag(dcache_resp_id)) 7557d45a146SYinan Xu difftest.data := data(dcache_resp_id).asTypeOf(Vec(CacheLineBytes, UInt(8.W))) 7567d45a146SYinan Xu difftest.mask := mask(dcache_resp_id).asUInt 757ad3ba452Szhanglinjuan }} 758ad3ba452Szhanglinjuan } 759ad3ba452Szhanglinjuan 760ad3ba452Szhanglinjuan // ---------------------- Load Data Forward --------------------- 761ad3ba452Szhanglinjuan val mismatch = Wire(Vec(LoadPipelineWidth, Bool())) 762db7f55d9SWilliam Wang XSPerfAccumulate("vaddr_match_failed", mismatch(0) || mismatch(1)) 763ad3ba452Szhanglinjuan for ((forward, i) <- io.forward.zipWithIndex) { 764ad3ba452Szhanglinjuan val vtag_matches = VecInit(widthMap(w => vtag(w) === getVTag(forward.vaddr))) 76567cddb05SWilliam Wang // ptag_matches uses paddr from dtlb, which is far from sbuffer 76667cddb05SWilliam Wang val ptag_matches = VecInit(widthMap(w => RegEnable(ptag(w), forward.valid) === RegEnable(getPTag(forward.paddr), forward.valid))) 767ad3ba452Szhanglinjuan val tag_matches = vtag_matches 768ad3ba452Szhanglinjuan val tag_mismatch = RegNext(forward.valid) && VecInit(widthMap(w => 76967cddb05SWilliam Wang RegNext(vtag_matches(w)) =/= ptag_matches(w) && RegNext((activeMask(w) || inflightMask(w))) 770ad3ba452Szhanglinjuan )).asUInt.orR 771ad3ba452Szhanglinjuan mismatch(i) := tag_mismatch 772ad3ba452Szhanglinjuan when (tag_mismatch) { 773ad3ba452Szhanglinjuan XSDebug("forward tag mismatch: pmatch %x vmatch %x vaddr %x paddr %x\n", 774ad3ba452Szhanglinjuan RegNext(ptag_matches.asUInt), 775ad3ba452Szhanglinjuan RegNext(vtag_matches.asUInt), 776ad3ba452Szhanglinjuan RegNext(forward.vaddr), 777ad3ba452Szhanglinjuan RegNext(forward.paddr) 778ad3ba452Szhanglinjuan ) 77996b1e495SWilliam Wang forward_need_uarch_drain := true.B 780ad3ba452Szhanglinjuan } 781ad3ba452Szhanglinjuan val valid_tag_matches = widthMap(w => tag_matches(w) && activeMask(w)) 782ad3ba452Szhanglinjuan val inflight_tag_matches = widthMap(w => tag_matches(w) && inflightMask(w)) 783cdbff57cSHaoyuan Feng val line_offset_mask = UIntToOH(getVWordOffset(forward.paddr)) 784ad3ba452Szhanglinjuan 785ad3ba452Szhanglinjuan val valid_tag_match_reg = valid_tag_matches.map(RegNext(_)) 786ad3ba452Szhanglinjuan val inflight_tag_match_reg = inflight_tag_matches.map(RegNext(_)) 787ad3ba452Szhanglinjuan val line_offset_reg = RegNext(line_offset_mask) 788a98b054bSWilliam Wang val forward_mask_candidate_reg = RegEnable( 789cdbff57cSHaoyuan Feng VecInit(mask.map(entry => entry(getVWordOffset(forward.paddr)))), 790a98b054bSWilliam Wang forward.valid 791a98b054bSWilliam Wang ) 79296b1e495SWilliam Wang val forward_data_candidate_reg = RegEnable( 793cdbff57cSHaoyuan Feng VecInit(data.map(entry => entry(getVWordOffset(forward.paddr)))), 79496b1e495SWilliam Wang forward.valid 79596b1e495SWilliam Wang ) 796ad3ba452Szhanglinjuan 797a98b054bSWilliam Wang val selectedValidMask = Mux1H(valid_tag_match_reg, forward_mask_candidate_reg) 79896b1e495SWilliam Wang val selectedValidData = Mux1H(valid_tag_match_reg, forward_data_candidate_reg) 799a98b054bSWilliam Wang selectedValidMask.suggestName("selectedValidMask_"+i) 80096b1e495SWilliam Wang selectedValidData.suggestName("selectedValidData_"+i) 801ad3ba452Szhanglinjuan 802a98b054bSWilliam Wang val selectedInflightMask = Mux1H(inflight_tag_match_reg, forward_mask_candidate_reg) 80396b1e495SWilliam Wang val selectedInflightData = Mux1H(inflight_tag_match_reg, forward_data_candidate_reg) 804a98b054bSWilliam Wang selectedInflightMask.suggestName("selectedInflightMask_"+i) 80596b1e495SWilliam Wang selectedInflightData.suggestName("selectedInflightData_"+i) 806ad3ba452Szhanglinjuan 807a98b054bSWilliam Wang // currently not being used 808cdbff57cSHaoyuan Feng val selectedInflightMaskFast = Mux1H(line_offset_mask, Mux1H(inflight_tag_matches, mask).asTypeOf(Vec(CacheLineVWords, Vec(VDataBytes, Bool())))) 809cdbff57cSHaoyuan Feng val selectedValidMaskFast = Mux1H(line_offset_mask, Mux1H(valid_tag_matches, mask).asTypeOf(Vec(CacheLineVWords, Vec(VDataBytes, Bool())))) 810ad3ba452Szhanglinjuan 811ad3ba452Szhanglinjuan forward.dataInvalid := false.B // data in store line merge buffer is always ready 812ad3ba452Szhanglinjuan forward.matchInvalid := tag_mismatch // paddr / vaddr cam result does not match 813cdbff57cSHaoyuan Feng for (j <- 0 until VDataBytes) { 814ad3ba452Szhanglinjuan forward.forwardMask(j) := false.B 815ad3ba452Szhanglinjuan forward.forwardData(j) := DontCare 816ad3ba452Szhanglinjuan 817ad3ba452Szhanglinjuan // valid entries have higher priority than inflight entries 818ad3ba452Szhanglinjuan when(selectedInflightMask(j)) { 819ad3ba452Szhanglinjuan forward.forwardMask(j) := true.B 820ad3ba452Szhanglinjuan forward.forwardData(j) := selectedInflightData(j) 821ad3ba452Szhanglinjuan } 822ad3ba452Szhanglinjuan when(selectedValidMask(j)) { 823ad3ba452Szhanglinjuan forward.forwardMask(j) := true.B 824ad3ba452Szhanglinjuan forward.forwardData(j) := selectedValidData(j) 825ad3ba452Szhanglinjuan } 826ad3ba452Szhanglinjuan 827ad3ba452Szhanglinjuan forward.forwardMaskFast(j) := selectedInflightMaskFast(j) || selectedValidMaskFast(j) 828ad3ba452Szhanglinjuan } 829e4f69d78Ssfencevma forward.addrInvalid := DontCare 830ad3ba452Szhanglinjuan } 831ad3ba452Szhanglinjuan 832ad3ba452Szhanglinjuan for (i <- 0 until StoreBufferSize) { 83396b1e495SWilliam Wang XSDebug("sbf entry " + i + " : ptag %x vtag %x valid %x active %x inflight %x w_timeout %x\n", 834ad3ba452Szhanglinjuan ptag(i) << OffsetWidth, 835ad3ba452Szhanglinjuan vtag(i) << OffsetWidth, 836ad3ba452Szhanglinjuan stateVec(i).isValid(), 837ad3ba452Szhanglinjuan activeMask(i), 838ad3ba452Szhanglinjuan inflightMask(i), 839ad3ba452Szhanglinjuan stateVec(i).w_timeout 840ad3ba452Szhanglinjuan ) 841ad3ba452Szhanglinjuan } 842ad3ba452Szhanglinjuan 84360bd4d3cSweiding liu if (env.EnableDifftest) { 84460bd4d3cSweiding liu for (i <- 0 until EnsbufferWidth) { 84560bd4d3cSweiding liu val storeCommit = io.in(i).fire 84660bd4d3cSweiding liu val waddr = ZeroExt(Cat(io.in(i).bits.addr(PAddrBits - 1, 3), 0.U(3.W)), 64) 84760bd4d3cSweiding liu val sbufferMask = shiftMaskToLow(io.in(i).bits.addr, io.in(i).bits.mask) 84860bd4d3cSweiding liu val sbufferData = shiftDataToLow(io.in(i).bits.addr, io.in(i).bits.data) 84960bd4d3cSweiding liu val wmask = sbufferMask 85060bd4d3cSweiding liu val wdata = sbufferData & MaskExpand(sbufferMask) 85160bd4d3cSweiding liu 85260bd4d3cSweiding liu val difftest = DifftestModule(new DiffStoreEvent, delay = 2) 85360bd4d3cSweiding liu difftest.coreid := io.hartId 85460bd4d3cSweiding liu difftest.index := i.U 85560bd4d3cSweiding liu difftest.valid := storeCommit 85660bd4d3cSweiding liu difftest.addr := waddr 85760bd4d3cSweiding liu difftest.data := wdata 85860bd4d3cSweiding liu difftest.mask := wmask 85960bd4d3cSweiding liu } 86060bd4d3cSweiding liu } 86160bd4d3cSweiding liu 862b6d53cefSWilliam Wang val perf_valid_entry_count = RegNext(PopCount(VecInit(stateVec.map(s => !s.isInvalid())).asUInt)) 863ad3ba452Szhanglinjuan XSPerfHistogram("util", perf_valid_entry_count, true.B, 0, StoreBufferSize, 1) 864ad3ba452Szhanglinjuan XSPerfAccumulate("sbuffer_req_valid", PopCount(VecInit(io.in.map(_.valid)).asUInt)) 865935edac4STang Haojin XSPerfAccumulate("sbuffer_req_fire", PopCount(VecInit(io.in.map(_.fire)).asUInt)) 866935edac4STang Haojin XSPerfAccumulate("sbuffer_merge", PopCount(VecInit(io.in.zipWithIndex.map({case (in, i) => in.fire && canMerge(i)})).asUInt)) 867935edac4STang Haojin XSPerfAccumulate("sbuffer_newline", PopCount(VecInit(io.in.zipWithIndex.map({case (in, i) => in.fire && !canMerge(i)})).asUInt)) 868ad3ba452Szhanglinjuan XSPerfAccumulate("dcache_req_valid", io.dcache.req.valid) 869935edac4STang Haojin XSPerfAccumulate("dcache_req_fire", io.dcache.req.fire) 870ad3ba452Szhanglinjuan XSPerfAccumulate("sbuffer_idle", sbuffer_state === x_idle) 871ad3ba452Szhanglinjuan XSPerfAccumulate("sbuffer_flush", sbuffer_state === x_drain_sbuffer) 872ad3ba452Szhanglinjuan XSPerfAccumulate("sbuffer_replace", sbuffer_state === x_replace) 873db7f55d9SWilliam Wang XSPerfAccumulate("evenCanInsert", evenCanInsert) 874db7f55d9SWilliam Wang XSPerfAccumulate("oddCanInsert", oddCanInsert) 875935edac4STang Haojin XSPerfAccumulate("mainpipe_resp_valid", io.dcache.main_pipe_hit_resp.fire) 876*ffd3154dSCharlieLiu //XSPerfAccumulate("refill_resp_valid", io.dcache.refill_hit_resp.fire) 877935edac4STang Haojin XSPerfAccumulate("replay_resp_valid", io.dcache.replay_resp.fire) 87896b1e495SWilliam Wang XSPerfAccumulate("coh_timeout", cohHasTimeOut) 87996b1e495SWilliam Wang 880935edac4STang Haojin // val (store_latency_sample, store_latency) = TransactionLatencyCounter(io.lsu.req.fire, io.lsu.resp.fire) 88196b1e495SWilliam Wang // XSPerfHistogram("store_latency", store_latency, store_latency_sample, 0, 100, 10) 882935edac4STang Haojin // XSPerfAccumulate("store_req", io.lsu.req.fire) 883cd365d4cSrvcoresjw 884cd365d4cSrvcoresjw val perfEvents = Seq( 885cd365d4cSrvcoresjw ("sbuffer_req_valid ", PopCount(VecInit(io.in.map(_.valid)).asUInt) ), 886935edac4STang Haojin ("sbuffer_req_fire ", PopCount(VecInit(io.in.map(_.fire)).asUInt) ), 887935edac4STang Haojin ("sbuffer_merge ", PopCount(VecInit(io.in.zipWithIndex.map({case (in, i) => in.fire && canMerge(i)})).asUInt) ), 888935edac4STang Haojin ("sbuffer_newline ", PopCount(VecInit(io.in.zipWithIndex.map({case (in, i) => in.fire && !canMerge(i)})).asUInt) ), 889cd365d4cSrvcoresjw ("dcache_req_valid ", io.dcache.req.valid ), 890935edac4STang Haojin ("dcache_req_fire ", io.dcache.req.fire ), 89196b1e495SWilliam Wang ("sbuffer_idle ", sbuffer_state === x_idle ), 89296b1e495SWilliam Wang ("sbuffer_flush ", sbuffer_state === x_drain_sbuffer ), 89396b1e495SWilliam Wang ("sbuffer_replace ", sbuffer_state === x_replace ), 894935edac4STang Haojin ("mpipe_resp_valid ", io.dcache.main_pipe_hit_resp.fire ), 895*ffd3154dSCharlieLiu //("refill_resp_valid ", io.dcache.refill_hit_resp.fire ), 896935edac4STang Haojin ("replay_resp_valid ", io.dcache.replay_resp.fire ), 89796b1e495SWilliam Wang ("coh_timeout ", cohHasTimeOut ), 8981ca0e4f3SYinan Xu ("sbuffer_1_4_valid ", (perf_valid_entry_count < (StoreBufferSize.U/4.U)) ), 8991ca0e4f3SYinan Xu ("sbuffer_2_4_valid ", (perf_valid_entry_count > (StoreBufferSize.U/4.U)) & (perf_valid_entry_count <= (StoreBufferSize.U/2.U)) ), 9001ca0e4f3SYinan Xu ("sbuffer_3_4_valid ", (perf_valid_entry_count > (StoreBufferSize.U/2.U)) & (perf_valid_entry_count <= (StoreBufferSize.U*3.U/4.U))), 901cd365d4cSrvcoresjw ("sbuffer_full_valid", (perf_valid_entry_count > (StoreBufferSize.U*3.U/4.U))) 902cd365d4cSrvcoresjw ) 9031ca0e4f3SYinan Xu generatePerfEvent() 904cd365d4cSrvcoresjw 905ad3ba452Szhanglinjuan} 906