xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LoadQueue.scala (revision 12e221b1295bd5e50822d86f9ccd637ebeaffc2f)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import xiangshan._
24import xiangshan.backend.fu.fpu.FPU
25import xiangshan.backend.rob.RobLsqIO
26import xiangshan.cache._
27import xiangshan.frontend.FtqPtr
28
29
30class LqPtr(implicit p: Parameters) extends CircularQueuePtr[LqPtr](
31  p => p(XSCoreParamsKey).LoadQueueSize
32){
33  override def cloneType = (new LqPtr).asInstanceOf[this.type]
34}
35
36object LqPtr {
37  def apply(f: Bool, v: UInt)(implicit p: Parameters): LqPtr = {
38    val ptr = Wire(new LqPtr)
39    ptr.flag := f
40    ptr.value := v
41    ptr
42  }
43}
44
45trait HasLoadHelper { this: XSModule =>
46  def rdataHelper(uop: MicroOp, rdata: UInt): UInt = {
47    val fpWen = uop.ctrl.fpWen
48    LookupTree(uop.ctrl.fuOpType, List(
49      LSUOpType.lb   -> SignExt(rdata(7, 0) , XLEN),
50      LSUOpType.lh   -> SignExt(rdata(15, 0), XLEN),
51      /*
52          riscv-spec-20191213: 12.2 NaN Boxing of Narrower Values
53          Any operation that writes a narrower result to an f register must write
54          all 1s to the uppermost FLEN−n bits to yield a legal NaN-boxed value.
55      */
56      LSUOpType.lw   -> Mux(fpWen, FPU.box(rdata, FPU.S), SignExt(rdata(31, 0), XLEN)),
57      LSUOpType.ld   -> Mux(fpWen, FPU.box(rdata, FPU.D), SignExt(rdata(63, 0), XLEN)),
58      LSUOpType.lbu  -> ZeroExt(rdata(7, 0) , XLEN),
59      LSUOpType.lhu  -> ZeroExt(rdata(15, 0), XLEN),
60      LSUOpType.lwu  -> ZeroExt(rdata(31, 0), XLEN),
61    ))
62  }
63}
64
65class LqEnqIO(implicit p: Parameters) extends XSBundle {
66  val canAccept = Output(Bool())
67  val sqCanAccept = Input(Bool())
68  val needAlloc = Vec(exuParameters.LsExuCnt, Input(Bool()))
69  val req = Vec(exuParameters.LsExuCnt, Flipped(ValidIO(new MicroOp)))
70  val resp = Vec(exuParameters.LsExuCnt, Output(new LqPtr))
71}
72
73class LqTriggerIO(implicit p: Parameters) extends XSBundle {
74  val hitLoadAddrTriggerHitVec = Input(Vec(3, Bool()))
75  val lqLoadAddrTriggerHitVec = Output(Vec(3, Bool()))
76}
77
78// Load Queue
79class LoadQueue(implicit p: Parameters) extends XSModule
80  with HasDCacheParameters
81  with HasCircularQueuePtrHelper
82  with HasLoadHelper
83  with HasPerfEvents
84{
85  val io = IO(new Bundle() {
86    val enq = new LqEnqIO
87    val brqRedirect = Flipped(ValidIO(new Redirect))
88    val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle)))
89    val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
90    val loadDataForwarded = Vec(LoadPipelineWidth, Input(Bool()))
91    val dcacheRequireReplay = Vec(LoadPipelineWidth, Input(Bool()))
92    val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback int load
93    val load_s1 = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO)) // TODO: to be renamed
94    val loadViolationQuery = Vec(LoadPipelineWidth, Flipped(new LoadViolationQueryIO))
95    val rob = Flipped(new RobLsqIO)
96    val rollback = Output(Valid(new Redirect)) // replay now starts from load instead of store
97    val dcache = Flipped(ValidIO(new Refill)) // TODO: to be renamed
98    val release = Flipped(ValidIO(new Release))
99    val uncache = new DCacheWordIO
100    val exceptionAddr = new ExceptionAddrIO
101    val lqFull = Output(Bool())
102    val lqCancelCnt = Output(UInt(log2Up(LoadQueueSize + 1).W))
103    val trigger = Vec(LoadPipelineWidth, new LqTriggerIO)
104  })
105
106  println("LoadQueue: size:" + LoadQueueSize)
107
108  val uop = Reg(Vec(LoadQueueSize, new MicroOp))
109  // val data = Reg(Vec(LoadQueueSize, new LsRobEntry))
110  val dataModule = Module(new LoadQueueDataWrapper(LoadQueueSize, wbNumRead = LoadPipelineWidth, wbNumWrite = LoadPipelineWidth))
111  dataModule.io := DontCare
112  val vaddrModule = Module(new SyncDataModuleTemplate(UInt(VAddrBits.W), LoadQueueSize, numRead = 3, numWrite = LoadPipelineWidth))
113  vaddrModule.io := DontCare
114  val vaddrTriggerResultModule = Module(new SyncDataModuleTemplate(Vec(3, Bool()), LoadQueueSize, numRead = LoadPipelineWidth, numWrite = LoadPipelineWidth))
115  vaddrTriggerResultModule.io := DontCare
116  val allocated = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // lq entry has been allocated
117  val datavalid = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // data is valid
118  val writebacked = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // inst has been writebacked to CDB
119  val released = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // load data has been released by dcache
120  val error = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // load data has been corrupted
121  val miss = Reg(Vec(LoadQueueSize, Bool())) // load inst missed, waiting for miss queue to accept miss request
122  // val listening = Reg(Vec(LoadQueueSize, Bool())) // waiting for refill result
123  val pending = Reg(Vec(LoadQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of rob
124  val refilling = WireInit(VecInit(List.fill(LoadQueueSize)(false.B))) // inst has been writebacked to CDB
125
126  val debug_mmio = Reg(Vec(LoadQueueSize, Bool())) // mmio: inst is an mmio inst
127  val debug_paddr = Reg(Vec(LoadQueueSize, UInt(PAddrBits.W))) // mmio: inst is an mmio inst
128
129  val enqPtrExt = RegInit(VecInit((0 until io.enq.req.length).map(_.U.asTypeOf(new LqPtr))))
130  val deqPtrExt = RegInit(0.U.asTypeOf(new LqPtr))
131  val deqPtrExtNext = Wire(new LqPtr)
132
133  val enqPtr = enqPtrExt(0).value
134  val deqPtr = deqPtrExt.value
135
136  val validCount = distanceBetween(enqPtrExt(0), deqPtrExt)
137  val allowEnqueue = validCount <= (LoadQueueSize - 2).U
138
139  val deqMask = UIntToMask(deqPtr, LoadQueueSize)
140  val enqMask = UIntToMask(enqPtr, LoadQueueSize)
141
142  val commitCount = RegNext(io.rob.lcommit)
143
144  val release1cycle = io.release
145  val release2cycle = RegNext(io.release)
146
147  /**
148    * Enqueue at dispatch
149    *
150    * Currently, LoadQueue only allows enqueue when #emptyEntries > EnqWidth
151    */
152  io.enq.canAccept := allowEnqueue
153
154  val canEnqueue = io.enq.req.map(_.valid)
155  val enqCancel = io.enq.req.map(_.bits.robIdx.needFlush(io.brqRedirect))
156  for (i <- 0 until io.enq.req.length) {
157    val offset = if (i == 0) 0.U else PopCount(io.enq.needAlloc.take(i))
158    val lqIdx = enqPtrExt(offset)
159    val index = io.enq.req(i).bits.lqIdx.value
160    when (canEnqueue(i) && !enqCancel(i)) {
161      uop(index).robIdx := io.enq.req(i).bits.robIdx
162      allocated(index) := true.B
163      datavalid(index) := false.B
164      writebacked(index) := false.B
165      released(index) := false.B
166      miss(index) := false.B
167      pending(index) := false.B
168      error(index) := false.B
169      XSError(!io.enq.canAccept || !io.enq.sqCanAccept, s"must accept $i\n")
170      XSError(index =/= lqIdx.value, s"must be the same entry $i\n")
171    }
172    io.enq.resp(i) := lqIdx
173  }
174  XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(io.enq.req.map(_.valid)))}\n")
175
176  /**
177    * Writeback load from load units
178    *
179    * Most load instructions writeback to regfile at the same time.
180    * However,
181    *   (1) For an mmio instruction with exceptions, it writes back to ROB immediately.
182    *   (2) For an mmio instruction without exceptions, it does not write back.
183    * The mmio instruction will be sent to lower level when it reaches ROB's head.
184    * After uncache response, it will write back through arbiter with loadUnit.
185    *   (3) For cache misses, it is marked miss and sent to dcache later.
186    * After cache refills, it will write back through arbiter with loadUnit.
187    */
188  for (i <- 0 until LoadPipelineWidth) {
189    dataModule.io.wb.wen(i) := false.B
190    vaddrTriggerResultModule.io.wen(i) := false.B
191    val loadWbIndex = io.loadIn(i).bits.uop.lqIdx.value
192
193    // most lq status need to be updated immediately after load writeback to lq
194    when(io.loadIn(i).fire()) {
195      when(io.loadIn(i).bits.miss) {
196        XSInfo(io.loadIn(i).valid, "load miss write to lq idx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x\n",
197          io.loadIn(i).bits.uop.lqIdx.asUInt,
198          io.loadIn(i).bits.uop.cf.pc,
199          io.loadIn(i).bits.vaddr,
200          io.loadIn(i).bits.paddr,
201          io.loadIn(i).bits.data,
202          io.loadIn(i).bits.mask,
203          io.loadIn(i).bits.forwardData.asUInt,
204          io.loadIn(i).bits.forwardMask.asUInt,
205          io.loadIn(i).bits.mmio
206        )
207      }.otherwise {
208        XSInfo(io.loadIn(i).valid, "load hit write to cbd lqidx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x\n",
209        io.loadIn(i).bits.uop.lqIdx.asUInt,
210        io.loadIn(i).bits.uop.cf.pc,
211        io.loadIn(i).bits.vaddr,
212        io.loadIn(i).bits.paddr,
213        io.loadIn(i).bits.data,
214        io.loadIn(i).bits.mask,
215        io.loadIn(i).bits.forwardData.asUInt,
216        io.loadIn(i).bits.forwardMask.asUInt,
217        io.loadIn(i).bits.mmio
218      )}
219      if(EnableFastForward){
220        datavalid(loadWbIndex) := (!io.loadIn(i).bits.miss || io.loadDataForwarded(i)) &&
221          !io.loadIn(i).bits.mmio && // mmio data is not valid until we finished uncache access
222          !io.dcacheRequireReplay(i) // do not writeback if that inst will be resend from rs
223      } else {
224        datavalid(loadWbIndex) := (!io.loadIn(i).bits.miss || io.loadDataForwarded(i)) &&
225          !io.loadIn(i).bits.mmio // mmio data is not valid until we finished uncache access
226      }
227      writebacked(loadWbIndex) := !io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio
228
229      val loadWbData = Wire(new LQDataEntry)
230      loadWbData.paddr := io.loadIn(i).bits.paddr
231      loadWbData.mask := io.loadIn(i).bits.mask
232      loadWbData.data := io.loadIn(i).bits.forwardData.asUInt // fwd data
233      loadWbData.fwdMask := io.loadIn(i).bits.forwardMask
234      dataModule.io.wbWrite(i, loadWbIndex, loadWbData)
235      dataModule.io.wb.wen(i) := true.B
236
237      vaddrTriggerResultModule.io.waddr(i) := loadWbIndex
238      vaddrTriggerResultModule.io.wdata(i) := io.trigger(i).hitLoadAddrTriggerHitVec
239      vaddrTriggerResultModule.io.wen(i) := true.B
240
241      debug_mmio(loadWbIndex) := io.loadIn(i).bits.mmio
242      debug_paddr(loadWbIndex) := io.loadIn(i).bits.paddr
243
244      val dcacheMissed = io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio
245      if(EnableFastForward){
246        miss(loadWbIndex) := dcacheMissed && !io.loadDataForwarded(i) && !io.dcacheRequireReplay(i)
247      } else {
248        miss(loadWbIndex) := dcacheMissed && !io.loadDataForwarded(i)
249      }
250      pending(loadWbIndex) := io.loadIn(i).bits.mmio
251      released(loadWbIndex) := release2cycle.valid &&
252        io.loadIn(i).bits.paddr(PAddrBits-1, DCacheLineOffset) === release2cycle.bits.paddr(PAddrBits-1, DCacheLineOffset) ||
253        release1cycle.valid &&
254        io.loadIn(i).bits.paddr(PAddrBits-1, DCacheLineOffset) === release1cycle.bits.paddr(PAddrBits-1, DCacheLineOffset)
255      // dirty code for load instr
256      uop(loadWbIndex).pdest := io.loadIn(i).bits.uop.pdest
257      uop(loadWbIndex).cf := io.loadIn(i).bits.uop.cf
258      uop(loadWbIndex).ctrl := io.loadIn(i).bits.uop.ctrl
259      uop(loadWbIndex).debugInfo := io.loadIn(i).bits.uop.debugInfo
260    }
261
262    // vaddrModule write is delayed, as vaddrModule will not be read right after write
263    vaddrModule.io.waddr(i) := RegNext(loadWbIndex)
264    vaddrModule.io.wdata(i) := RegNext(io.loadIn(i).bits.vaddr)
265    vaddrModule.io.wen(i) := RegNext(io.loadIn(i).fire())
266  }
267
268  when(io.dcache.valid) {
269    XSDebug("miss resp: paddr:0x%x data %x\n", io.dcache.bits.addr, io.dcache.bits.data)
270  }
271
272  // Refill 64 bit in a cycle
273  // Refill data comes back from io.dcache.resp
274  dataModule.io.refill.valid := io.dcache.valid
275  dataModule.io.refill.paddr := io.dcache.bits.addr
276  dataModule.io.refill.data := io.dcache.bits.data
277
278  val dcacheRequireReplay = WireInit(VecInit((0 until LoadPipelineWidth).map(i =>{
279    RegNext(io.loadIn(i).fire()) && RegNext(io.dcacheRequireReplay(i))
280  })))
281  dontTouch(dcacheRequireReplay)
282
283  (0 until LoadQueueSize).map(i => {
284    dataModule.io.refill.refillMask(i) := allocated(i) && miss(i)
285    when(dataModule.io.refill.valid && dataModule.io.refill.refillMask(i) && dataModule.io.refill.matchMask(i)) {
286      datavalid(i) := true.B
287      miss(i) := false.B
288      when(!dcacheRequireReplay.asUInt.orR){
289        refilling(i) := true.B
290      }
291      when(io.dcache.bits.error) {
292        error(i) := true.B
293      }
294    }
295  })
296
297  for (i <- 0 until LoadPipelineWidth) {
298    val loadWbIndex = io.loadIn(i).bits.uop.lqIdx.value
299    if(!EnableFastForward){
300      // dcacheRequireReplay will be used to update lq flag 1 cycle after for better timing
301      //
302      // io.dcacheRequireReplay comes from dcache miss req reject, which is quite slow to generate
303      when(dcacheRequireReplay(i)) {
304        // do not writeback if that inst will be resend from rs
305        // rob writeback will not be triggered by a refill before inst replay
306        miss(RegNext(loadWbIndex)) := false.B // disable refill listening
307        datavalid(RegNext(loadWbIndex)) := false.B // disable refill listening
308        assert(!datavalid(RegNext(loadWbIndex)))
309      }
310    }
311  }
312
313  // Writeback up to 2 missed load insts to CDB
314  //
315  // Pick 2 missed load (data refilled), write them back to cdb
316  // 2 refilled load will be selected from even/odd entry, separately
317
318  // Stage 0
319  // Generate writeback indexes
320
321  def getEvenBits(input: UInt): UInt = {
322    VecInit((0 until LoadQueueSize/2).map(i => {input(2*i)})).asUInt
323  }
324  def getOddBits(input: UInt): UInt = {
325    VecInit((0 until LoadQueueSize/2).map(i => {input(2*i+1)})).asUInt
326  }
327
328  val loadWbSel = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueSize).W))) // index selected last cycle
329  val loadWbSelV = Wire(Vec(LoadPipelineWidth, Bool())) // index selected in last cycle is valid
330
331  val loadWbSelVec = VecInit((0 until LoadQueueSize).map(i => {
332    allocated(i) && !writebacked(i) && (datavalid(i) || refilling(i))
333  })).asUInt() // use uint instead vec to reduce verilog lines
334  val evenDeqMask = getEvenBits(deqMask)
335  val oddDeqMask = getOddBits(deqMask)
336  // generate lastCycleSelect mask
337  val evenFireMask = getEvenBits(UIntToOH(loadWbSel(0)))
338  val oddFireMask = getOddBits(UIntToOH(loadWbSel(1)))
339  // generate real select vec
340  def toVec(a: UInt): Vec[Bool] = {
341    VecInit(a.asBools)
342  }
343  val loadEvenSelVecFire = getEvenBits(loadWbSelVec) & ~evenFireMask
344  val loadOddSelVecFire = getOddBits(loadWbSelVec) & ~oddFireMask
345  val loadEvenSelVecNotFire = getEvenBits(loadWbSelVec)
346  val loadOddSelVecNotFire = getOddBits(loadWbSelVec)
347  val loadEvenSel = Mux(
348    io.ldout(0).fire(),
349    getFirstOne(toVec(loadEvenSelVecFire), evenDeqMask),
350    getFirstOne(toVec(loadEvenSelVecNotFire), evenDeqMask)
351  )
352  val loadOddSel= Mux(
353    io.ldout(1).fire(),
354    getFirstOne(toVec(loadOddSelVecFire), oddDeqMask),
355    getFirstOne(toVec(loadOddSelVecNotFire), oddDeqMask)
356  )
357
358
359  val loadWbSelGen = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueSize).W)))
360  val loadWbSelVGen = Wire(Vec(LoadPipelineWidth, Bool()))
361  loadWbSelGen(0) := Cat(loadEvenSel, 0.U(1.W))
362  loadWbSelVGen(0):= Mux(io.ldout(0).fire(), loadEvenSelVecFire.asUInt.orR, loadEvenSelVecNotFire.asUInt.orR)
363  loadWbSelGen(1) := Cat(loadOddSel, 1.U(1.W))
364  loadWbSelVGen(1) := Mux(io.ldout(1).fire(), loadOddSelVecFire.asUInt.orR, loadOddSelVecNotFire.asUInt.orR)
365
366  (0 until LoadPipelineWidth).map(i => {
367    loadWbSel(i) := RegNext(loadWbSelGen(i))
368    loadWbSelV(i) := RegNext(loadWbSelVGen(i), init = false.B)
369    when(io.ldout(i).fire()){
370      // Mark them as writebacked, so they will not be selected in the next cycle
371      writebacked(loadWbSel(i)) := true.B
372    }
373  })
374
375  // Stage 1
376  // Use indexes generated in cycle 0 to read data
377  // writeback data to cdb
378  (0 until LoadPipelineWidth).map(i => {
379    // data select
380    dataModule.io.wb.raddr(i) := loadWbSelGen(i)
381    val rdata = dataModule.io.wb.rdata(i).data
382    val seluop = uop(loadWbSel(i))
383    val func = seluop.ctrl.fuOpType
384    val raddr = dataModule.io.wb.rdata(i).paddr
385    val rdataSel = LookupTree(raddr(2, 0), List(
386      "b000".U -> rdata(63, 0),
387      "b001".U -> rdata(63, 8),
388      "b010".U -> rdata(63, 16),
389      "b011".U -> rdata(63, 24),
390      "b100".U -> rdata(63, 32),
391      "b101".U -> rdata(63, 40),
392      "b110".U -> rdata(63, 48),
393      "b111".U -> rdata(63, 56)
394    ))
395    val rdataPartialLoad = rdataHelper(seluop, rdataSel)
396
397    // writeback missed int/fp load
398    //
399    // Int load writeback will finish (if not blocked) in one cycle
400    io.ldout(i).bits.uop := seluop
401    io.ldout(i).bits.uop.lqIdx := loadWbSel(i).asTypeOf(new LqPtr)
402    io.ldout(i).bits.data := rdataPartialLoad
403    io.ldout(i).bits.redirectValid := false.B
404    io.ldout(i).bits.redirect := DontCare
405    io.ldout(i).bits.debug.isMMIO := debug_mmio(loadWbSel(i))
406    io.ldout(i).bits.debug.isPerfCnt := false.B
407    io.ldout(i).bits.debug.paddr := debug_paddr(loadWbSel(i))
408    io.ldout(i).bits.debug.vaddr := vaddrModule.io.rdata(i+1)
409    io.ldout(i).bits.fflags := DontCare
410    io.ldout(i).valid := loadWbSelV(i)
411
412    when(io.ldout(i).fire()) {
413      XSInfo("int load miss write to cbd robidx %d lqidx %d pc 0x%x mmio %x\n",
414        io.ldout(i).bits.uop.robIdx.asUInt,
415        io.ldout(i).bits.uop.lqIdx.asUInt,
416        io.ldout(i).bits.uop.cf.pc,
417        debug_mmio(loadWbSel(i))
418      )
419    }
420
421  })
422
423  /**
424    * Load commits
425    *
426    * When load commited, mark it as !allocated and move deqPtrExt forward.
427    */
428  (0 until CommitWidth).map(i => {
429    when(commitCount > i.U){
430      allocated((deqPtrExt+i.U).value) := false.B
431      XSError(!allocated((deqPtrExt+i.U).value), s"why commit invalid entry $i?\n")
432    }
433  })
434
435  def getFirstOne(mask: Vec[Bool], startMask: UInt) = {
436    val length = mask.length
437    val highBits = (0 until length).map(i => mask(i) & ~startMask(i))
438    val highBitsUint = Cat(highBits.reverse)
439    PriorityEncoder(Mux(highBitsUint.orR(), highBitsUint, mask.asUInt))
440  }
441
442  def getOldestInTwo(valid: Seq[Bool], uop: Seq[MicroOp]) = {
443    assert(valid.length == uop.length)
444    assert(valid.length == 2)
445    Mux(valid(0) && valid(1),
446      Mux(isAfter(uop(0).robIdx, uop(1).robIdx), uop(1), uop(0)),
447      Mux(valid(0) && !valid(1), uop(0), uop(1)))
448  }
449
450  def getAfterMask(valid: Seq[Bool], uop: Seq[MicroOp]) = {
451    assert(valid.length == uop.length)
452    val length = valid.length
453    (0 until length).map(i => {
454      (0 until length).map(j => {
455        Mux(valid(i) && valid(j),
456          isAfter(uop(i).robIdx, uop(j).robIdx),
457          Mux(!valid(i), true.B, false.B))
458      })
459    })
460  }
461
462  /**
463    * Store-Load Memory violation detection
464    *
465    * When store writes back, it searches LoadQueue for younger load instructions
466    * with the same load physical address. They loaded wrong data and need re-execution.
467    *
468    * Cycle 0: Store Writeback
469    *   Generate match vector for store address with rangeMask(stPtr, enqPtr).
470    *   Besides, load instructions in LoadUnit_S1 and S2 are also checked.
471    * Cycle 1: Redirect Generation
472    *   There're three possible types of violations, up to 6 possible redirect requests.
473    *   Choose the oldest load (part 1). (4 + 2) -> (1 + 2)
474    * Cycle 2: Redirect Fire
475    *   Choose the oldest load (part 2). (3 -> 1)
476    *   Prepare redirect request according to the detected violation.
477    *   Fire redirect request (if valid)
478    */
479
480  // stage 0:        lq l1 wb     l1 wb lq
481  //                 |  |  |      |  |  |  (paddr match)
482  // stage 1:        lq l1 wb     l1 wb lq
483  //                 |  |  |      |  |  |
484  //                 |  |------------|  |
485  //                 |        |         |
486  // stage 2:        lq      l1wb       lq
487  //                 |        |         |
488  //                 --------------------
489  //                          |
490  //                      rollback req
491  io.load_s1 := DontCare
492  def detectRollback(i: Int) = {
493    val startIndex = io.storeIn(i).bits.uop.lqIdx.value
494    val lqIdxMask = UIntToMask(startIndex, LoadQueueSize)
495    val xorMask = lqIdxMask ^ enqMask
496    val sameFlag = io.storeIn(i).bits.uop.lqIdx.flag === enqPtrExt(0).flag
497    val stToEnqPtrMask = Mux(sameFlag, xorMask, ~xorMask)
498
499    // check if load already in lq needs to be rolledback
500    dataModule.io.violation(i).paddr := io.storeIn(i).bits.paddr
501    dataModule.io.violation(i).mask := io.storeIn(i).bits.mask
502    val addrMaskMatch = RegNext(dataModule.io.violation(i).violationMask)
503    val entryNeedCheck = RegNext(VecInit((0 until LoadQueueSize).map(j => {
504      allocated(j) && stToEnqPtrMask(j) && (datavalid(j) || miss(j))
505    })))
506    val lqViolationVec = VecInit((0 until LoadQueueSize).map(j => {
507      addrMaskMatch(j) && entryNeedCheck(j)
508    }))
509    val lqViolation = lqViolationVec.asUInt().orR()
510    val lqViolationIndex = getFirstOne(lqViolationVec, RegNext(lqIdxMask))
511    val lqViolationUop = uop(lqViolationIndex)
512    // lqViolationUop.lqIdx.flag := deqMask(lqViolationIndex) ^ deqPtrExt.flag
513    // lqViolationUop.lqIdx.value := lqViolationIndex
514    XSDebug(lqViolation, p"${Binary(Cat(lqViolationVec))}, $startIndex, $lqViolationIndex\n")
515
516    // when l/s writeback to rob together, check if rollback is needed
517    val wbViolationVec = RegNext(VecInit((0 until LoadPipelineWidth).map(j => {
518      io.loadIn(j).valid &&
519        isAfter(io.loadIn(j).bits.uop.robIdx, io.storeIn(i).bits.uop.robIdx) &&
520        io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.loadIn(j).bits.paddr(PAddrBits - 1, 3) &&
521        (io.storeIn(i).bits.mask & io.loadIn(j).bits.mask).orR
522    })))
523    val wbViolation = wbViolationVec.asUInt().orR()
524    val wbViolationUop = getOldestInTwo(wbViolationVec, RegNext(VecInit(io.loadIn.map(_.bits.uop))))
525    XSDebug(wbViolation, p"${Binary(Cat(wbViolationVec))}, $wbViolationUop\n")
526
527    // check if rollback is needed for load in l1
528    val l1ViolationVec = RegNext(VecInit((0 until LoadPipelineWidth).map(j => {
529      io.load_s1(j).valid && // L1 valid
530        isAfter(io.load_s1(j).uop.robIdx, io.storeIn(i).bits.uop.robIdx) &&
531        io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.load_s1(j).paddr(PAddrBits - 1, 3) &&
532        (io.storeIn(i).bits.mask & io.load_s1(j).mask).orR
533    })))
534    val l1Violation = l1ViolationVec.asUInt().orR()
535    val l1ViolationUop = getOldestInTwo(l1ViolationVec, RegNext(VecInit(io.load_s1.map(_.uop))))
536    XSDebug(l1Violation, p"${Binary(Cat(l1ViolationVec))}, $l1ViolationUop\n")
537
538    XSDebug(
539      l1Violation,
540      "need rollback (l1 load) pc %x robidx %d target %x\n",
541      io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.robIdx.asUInt, l1ViolationUop.robIdx.asUInt
542    )
543    XSDebug(
544      lqViolation,
545      "need rollback (ld wb before store) pc %x robidx %d target %x\n",
546      io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.robIdx.asUInt, lqViolationUop.robIdx.asUInt
547    )
548    XSDebug(
549      wbViolation,
550      "need rollback (ld/st wb together) pc %x robidx %d target %x\n",
551      io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.robIdx.asUInt, wbViolationUop.robIdx.asUInt
552    )
553
554    ((lqViolation, lqViolationUop), (wbViolation, wbViolationUop), (l1Violation, l1ViolationUop))
555  }
556
557  def rollbackSel(a: Valid[MicroOpRbExt], b: Valid[MicroOpRbExt]): ValidIO[MicroOpRbExt] = {
558    Mux(
559      a.valid,
560      Mux(
561        b.valid,
562        Mux(isAfter(a.bits.uop.robIdx, b.bits.uop.robIdx), b, a), // a,b both valid, sel oldest
563        a // sel a
564      ),
565      b // sel b
566    )
567  }
568  val lastCycleRedirect = RegNext(io.brqRedirect)
569  val lastlastCycleRedirect = RegNext(lastCycleRedirect)
570
571  // S2: select rollback (part1) and generate rollback request
572  // rollback check
573  // Wb/L1 rollback seq check is done in s2
574  val rollbackWb = Wire(Vec(StorePipelineWidth, Valid(new MicroOpRbExt)))
575  val rollbackL1 = Wire(Vec(StorePipelineWidth, Valid(new MicroOpRbExt)))
576  val rollbackL1Wb = Wire(Vec(StorePipelineWidth*2, Valid(new MicroOpRbExt)))
577  // Lq rollback seq check is done in s3 (next stage), as getting rollbackLq MicroOp is slow
578  val rollbackLq = Wire(Vec(StorePipelineWidth, Valid(new MicroOpRbExt)))
579  // store ftq index for store set update
580  val stFtqIdxS2 = Wire(Vec(StorePipelineWidth, new FtqPtr))
581  val stFtqOffsetS2 = Wire(Vec(StorePipelineWidth, UInt(log2Up(PredictWidth).W)))
582  for (i <- 0 until StorePipelineWidth) {
583    val detectedRollback = detectRollback(i)
584    rollbackLq(i).valid := detectedRollback._1._1 && RegNext(io.storeIn(i).valid)
585    rollbackLq(i).bits.uop := detectedRollback._1._2
586    rollbackLq(i).bits.flag := i.U
587    rollbackWb(i).valid := detectedRollback._2._1 && RegNext(io.storeIn(i).valid)
588    rollbackWb(i).bits.uop := detectedRollback._2._2
589    rollbackWb(i).bits.flag := i.U
590    rollbackL1(i).valid := detectedRollback._3._1 && RegNext(io.storeIn(i).valid)
591    rollbackL1(i).bits.uop := detectedRollback._3._2
592    rollbackL1(i).bits.flag := i.U
593    rollbackL1Wb(2*i) := rollbackL1(i)
594    rollbackL1Wb(2*i+1) := rollbackWb(i)
595    stFtqIdxS2(i) := RegNext(io.storeIn(i).bits.uop.cf.ftqPtr)
596    stFtqOffsetS2(i) := RegNext(io.storeIn(i).bits.uop.cf.ftqOffset)
597  }
598
599  val rollbackL1WbSelected = ParallelOperation(rollbackL1Wb, rollbackSel)
600  val rollbackL1WbVReg = RegNext(rollbackL1WbSelected.valid)
601  val rollbackL1WbReg = RegEnable(rollbackL1WbSelected.bits, rollbackL1WbSelected.valid)
602  val rollbackLq0VReg = RegNext(rollbackLq(0).valid)
603  val rollbackLq0Reg = RegEnable(rollbackLq(0).bits, rollbackLq(0).valid)
604  val rollbackLq1VReg = RegNext(rollbackLq(1).valid)
605  val rollbackLq1Reg = RegEnable(rollbackLq(1).bits, rollbackLq(1).valid)
606
607  // S3: select rollback (part2), generate rollback request, then fire rollback request
608  // Note that we use robIdx - 1.U to flush the load instruction itself.
609  // Thus, here if last cycle's robIdx equals to this cycle's robIdx, it still triggers the redirect.
610
611  // FIXME: this is ugly
612  val rollbackValidVec = Seq(rollbackL1WbVReg, rollbackLq0VReg, rollbackLq1VReg)
613  val rollbackUopExtVec = Seq(rollbackL1WbReg, rollbackLq0Reg, rollbackLq1Reg)
614
615  // select uop in parallel
616  val mask = getAfterMask(rollbackValidVec, rollbackUopExtVec.map(i => i.uop))
617  val oneAfterZero = mask(1)(0)
618  val rollbackUopExt = Mux(oneAfterZero && mask(2)(0),
619    rollbackUopExtVec(0),
620    Mux(!oneAfterZero && mask(2)(1), rollbackUopExtVec(1), rollbackUopExtVec(2)))
621  val stFtqIdxS3 = RegNext(stFtqIdxS2)
622  val stFtqOffsetS3 = RegNext(stFtqOffsetS2)
623  val rollbackUop = rollbackUopExt.uop
624  val rollbackStFtqIdx = stFtqIdxS3(rollbackUopExt.flag)
625  val rollbackStFtqOffset = stFtqOffsetS3(rollbackUopExt.flag)
626
627  // check if rollback request is still valid in parallel
628  val rollbackValidVecChecked = Wire(Vec(3, Bool()))
629  for(((v, uop), idx) <- rollbackValidVec.zip(rollbackUopExtVec.map(i => i.uop)).zipWithIndex) {
630    rollbackValidVecChecked(idx) := v &&
631      (!lastCycleRedirect.valid || isBefore(uop.robIdx, lastCycleRedirect.bits.robIdx)) &&
632      (!lastlastCycleRedirect.valid || isBefore(uop.robIdx, lastlastCycleRedirect.bits.robIdx))
633  }
634
635  io.rollback.bits.robIdx := rollbackUop.robIdx
636  io.rollback.bits.ftqIdx := rollbackUop.cf.ftqPtr
637  io.rollback.bits.stFtqIdx := rollbackStFtqIdx
638  io.rollback.bits.ftqOffset := rollbackUop.cf.ftqOffset
639  io.rollback.bits.stFtqOffset := rollbackStFtqOffset
640  io.rollback.bits.level := RedirectLevel.flush
641  io.rollback.bits.interrupt := DontCare
642  io.rollback.bits.cfiUpdate := DontCare
643  io.rollback.bits.cfiUpdate.target := rollbackUop.cf.pc
644  io.rollback.bits.debug_runahead_checkpoint_id := rollbackUop.debugInfo.runahead_checkpoint_id
645  // io.rollback.bits.pc := DontCare
646
647  io.rollback.valid := rollbackValidVecChecked.asUInt.orR
648
649  when(io.rollback.valid) {
650    // XSDebug("Mem rollback: pc %x robidx %d\n", io.rollback.bits.cfi, io.rollback.bits.robIdx.asUInt)
651  }
652
653  /**
654  * Load-Load Memory violation detection
655  *
656  * When load arrives load_s1, it searches LoadQueue for younger load instructions
657  * with the same load physical address. If younger load has been released (or observed),
658  * the younger load needs to be re-execed.
659  *
660  * For now, if re-exec it found to be needed in load_s1, we mark the older load as replayInst,
661  * the two loads will be replayed if the older load becomes the head of rob.
662  *
663  * When dcache releases a line, mark all writebacked entrys in load queue with
664  * the same line paddr as released.
665  */
666
667  // Load-Load Memory violation query
668  val deqRightMask = UIntToMask.rightmask(deqPtr, LoadQueueSize)
669  (0 until LoadPipelineWidth).map(i => {
670    dataModule.io.release_violation(i).paddr := io.loadViolationQuery(i).req.bits.paddr
671    io.loadViolationQuery(i).req.ready := true.B
672    io.loadViolationQuery(i).resp.valid := RegNext(io.loadViolationQuery(i).req.fire())
673    // Generate real violation mask
674    // Note that we use UIntToMask.rightmask here
675    val startIndex = io.loadViolationQuery(i).req.bits.uop.lqIdx.value
676    val lqIdxMask = UIntToMask(startIndex, LoadQueueSize)
677    val xorMask = lqIdxMask ^ enqMask
678    val sameFlag = io.loadViolationQuery(i).req.bits.uop.lqIdx.flag === enqPtrExt(0).flag
679    val ldToEnqPtrMask = Mux(sameFlag, xorMask, ~xorMask)
680    val ldld_violation_mask = WireInit(VecInit((0 until LoadQueueSize).map(j => {
681      dataModule.io.release_violation(i).match_mask(j) && // addr match
682      ldToEnqPtrMask(j) && // the load is younger than current load
683      allocated(j) && // entry is valid
684      released(j) && // cacheline is released
685      (datavalid(j) || miss(j)) // paddr is valid
686    })))
687    dontTouch(ldld_violation_mask)
688    ldld_violation_mask.suggestName("ldldViolationMask_" + i)
689    io.loadViolationQuery(i).resp.bits.have_violation := RegNext(ldld_violation_mask.asUInt.orR)
690  })
691
692  // "released" flag update
693  //
694  // When io.release.valid (release1cycle.valid), it uses the last ld-ld paddr cam port to
695  // update release flag in 1 cycle
696
697  when(release1cycle.valid){
698    // Take over ld-ld paddr cam port
699    dataModule.io.release_violation.takeRight(1)(0).paddr := release1cycle.bits.paddr
700    io.loadViolationQuery.takeRight(1)(0).req.ready := false.B
701  }
702
703  when(release2cycle.valid){
704    // If a load comes in that cycle, we can not judge if it has ld-ld violation
705    // We replay that load inst from RS
706    io.loadViolationQuery.map(i => i.req.ready :=
707      !i.req.bits.paddr(PAddrBits-1, DCacheLineOffset) === release2cycle.bits.paddr(PAddrBits-1, DCacheLineOffset)
708    )
709    // io.loadViolationQuery.map(i => i.req.ready := false.B) // For better timing
710  }
711
712  (0 until LoadQueueSize).map(i => {
713    when(RegNext(dataModule.io.release_violation.takeRight(1)(0).match_mask(i) &&
714      allocated(i) &&
715      writebacked(i) &&
716      release1cycle.valid
717    )){
718      // Note: if a load has missed in dcache and is waiting for refill in load queue,
719      // its released flag still needs to be set as true if addr matches.
720      released(i) := true.B
721    }
722  })
723
724  /**
725    * Memory mapped IO / other uncached operations
726    *
727    * States:
728    * (1) writeback from store units: mark as pending
729    * (2) when they reach ROB's head, they can be sent to uncache channel
730    * (3) response from uncache channel: mark as datavalid
731    * (4) writeback to ROB (and other units): mark as writebacked
732    * (5) ROB commits the instruction: same as normal instructions
733    */
734  //(2) when they reach ROB's head, they can be sent to uncache channel
735  val lqTailMmioPending = WireInit(pending(deqPtr))
736  val lqTailAllocated = WireInit(allocated(deqPtr))
737  val s_idle :: s_req :: s_resp :: s_wait :: Nil = Enum(4)
738  val uncacheState = RegInit(s_idle)
739  switch(uncacheState) {
740    is(s_idle) {
741      when(RegNext(io.rob.pendingld && lqTailMmioPending && lqTailAllocated)) {
742        uncacheState := s_req
743      }
744    }
745    is(s_req) {
746      when(io.uncache.req.fire()) {
747        uncacheState := s_resp
748      }
749    }
750    is(s_resp) {
751      when(io.uncache.resp.fire()) {
752        uncacheState := s_wait
753      }
754    }
755    is(s_wait) {
756      when(RegNext(io.rob.commit)) {
757        uncacheState := s_idle // ready for next mmio
758      }
759    }
760  }
761  io.uncache.req.valid := uncacheState === s_req
762
763  dataModule.io.uncache.raddr := deqPtrExtNext.value
764
765  io.uncache.req.bits.cmd  := MemoryOpConstants.M_XRD
766  io.uncache.req.bits.addr := dataModule.io.uncache.rdata.paddr
767  io.uncache.req.bits.data := dataModule.io.uncache.rdata.data
768  io.uncache.req.bits.mask := dataModule.io.uncache.rdata.mask
769
770  io.uncache.req.bits.id   := DontCare
771  io.uncache.req.bits.instrtype := DontCare
772
773  io.uncache.resp.ready := true.B
774
775  when (io.uncache.req.fire()) {
776    pending(deqPtr) := false.B
777
778    XSDebug("uncache req: pc %x addr %x data %x op %x mask %x\n",
779      uop(deqPtr).cf.pc,
780      io.uncache.req.bits.addr,
781      io.uncache.req.bits.data,
782      io.uncache.req.bits.cmd,
783      io.uncache.req.bits.mask
784    )
785  }
786
787  // (3) response from uncache channel: mark as datavalid
788  dataModule.io.uncache.wen := false.B
789  when(io.uncache.resp.fire()){
790    datavalid(deqPtr) := true.B
791    dataModule.io.uncacheWrite(deqPtr, io.uncache.resp.bits.data(XLEN-1, 0))
792    dataModule.io.uncache.wen := true.B
793
794    XSDebug("uncache resp: data %x\n", io.dcache.bits.data)
795  }
796
797  // Read vaddr for mem exception
798  // no inst will be commited 1 cycle before tval update
799  vaddrModule.io.raddr(0) := (deqPtrExt + commitCount).value
800  io.exceptionAddr.vaddr := vaddrModule.io.rdata(0)
801
802  // Read vaddr for debug
803  (0 until LoadPipelineWidth).map(i => {
804    vaddrModule.io.raddr(i+1) := loadWbSel(i)
805  })
806
807  (0 until LoadPipelineWidth).map(i => {
808    vaddrTriggerResultModule.io.raddr(i) := loadWbSelGen(i)
809    io.trigger(i).lqLoadAddrTriggerHitVec := Mux(
810      loadWbSelV(i),
811      vaddrTriggerResultModule.io.rdata(i),
812      VecInit(Seq.fill(3)(false.B))
813    )
814  })
815
816  // misprediction recovery / exception redirect
817  // invalidate lq term using robIdx
818  val needCancel = Wire(Vec(LoadQueueSize, Bool()))
819  for (i <- 0 until LoadQueueSize) {
820    needCancel(i) := uop(i).robIdx.needFlush(io.brqRedirect) && allocated(i)
821    when (needCancel(i)) {
822      allocated(i) := false.B
823    }
824  }
825
826  /**
827    * update pointers
828    */
829  val lastEnqCancel = PopCount(RegNext(VecInit(canEnqueue.zip(enqCancel).map(x => x._1 && x._2))))
830  val lastCycleCancelCount = PopCount(RegNext(needCancel))
831  val enqNumber = Mux(io.enq.canAccept && io.enq.sqCanAccept, PopCount(io.enq.req.map(_.valid)), 0.U)
832  when (lastCycleRedirect.valid) {
833    // we recover the pointers in the next cycle after redirect
834    enqPtrExt := VecInit(enqPtrExt.map(_ - (lastCycleCancelCount + lastEnqCancel)))
835  }.otherwise {
836    enqPtrExt := VecInit(enqPtrExt.map(_ + enqNumber))
837  }
838
839  deqPtrExtNext := deqPtrExt + commitCount
840  deqPtrExt := deqPtrExtNext
841
842  io.lqCancelCnt := RegNext(lastCycleCancelCount + lastEnqCancel)
843
844  /**
845    * misc
846    */
847  // perf counter
848  QueuePerf(LoadQueueSize, validCount, !allowEnqueue)
849  io.lqFull := !allowEnqueue
850  XSPerfAccumulate("rollback", io.rollback.valid) // rollback redirect generated
851  XSPerfAccumulate("mmioCycle", uncacheState =/= s_idle) // lq is busy dealing with uncache req
852  XSPerfAccumulate("mmioCnt", io.uncache.req.fire())
853  XSPerfAccumulate("refill", io.dcache.valid)
854  XSPerfAccumulate("writeback_success", PopCount(VecInit(io.ldout.map(i => i.fire()))))
855  XSPerfAccumulate("writeback_blocked", PopCount(VecInit(io.ldout.map(i => i.valid && !i.ready))))
856  XSPerfAccumulate("utilization_miss", PopCount((0 until LoadQueueSize).map(i => allocated(i) && miss(i))))
857
858  val perfEvents = Seq(
859    ("rollback         ", io.rollback.valid                                                               ),
860    ("mmioCycle        ", uncacheState =/= s_idle                                                         ),
861    ("mmio_Cnt         ", io.uncache.req.fire()                                                           ),
862    ("refill           ", io.dcache.valid                                                                 ),
863    ("writeback_success", PopCount(VecInit(io.ldout.map(i => i.fire())))                                  ),
864    ("writeback_blocked", PopCount(VecInit(io.ldout.map(i => i.valid && !i.ready)))                       ),
865    ("ltq_1_4_valid    ", (validCount < (LoadQueueSize.U/4.U))                                            ),
866    ("ltq_2_4_valid    ", (validCount > (LoadQueueSize.U/4.U)) & (validCount <= (LoadQueueSize.U/2.U))    ),
867    ("ltq_3_4_valid    ", (validCount > (LoadQueueSize.U/2.U)) & (validCount <= (LoadQueueSize.U*3.U/4.U))),
868    ("ltq_4_4_valid    ", (validCount > (LoadQueueSize.U*3.U/4.U))                                        )
869  )
870  generatePerfEvent()
871
872  // debug info
873  XSDebug("enqPtrExt %d:%d deqPtrExt %d:%d\n", enqPtrExt(0).flag, enqPtr, deqPtrExt.flag, deqPtr)
874
875  def PrintFlag(flag: Bool, name: String): Unit = {
876    when(flag) {
877      XSDebug(false, true.B, name)
878    }.otherwise {
879      XSDebug(false, true.B, " ")
880    }
881  }
882
883  for (i <- 0 until LoadQueueSize) {
884    XSDebug(i + " pc %x pa %x ", uop(i).cf.pc, debug_paddr(i))
885    PrintFlag(allocated(i), "a")
886    PrintFlag(allocated(i) && datavalid(i), "v")
887    PrintFlag(allocated(i) && writebacked(i), "w")
888    PrintFlag(allocated(i) && miss(i), "m")
889    PrintFlag(allocated(i) && pending(i), "p")
890    XSDebug(false, true.B, "\n")
891  }
892
893}
894