xref: /XiangShan/src/main/scala/xiangshan/mem/MemCommon.scala (revision 67ba96b4871c459c09df20e3052738174021a830)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19
20import chipsalliance.rocketchip.config.Parameters
21import chisel3._
22import chisel3.util._
23import xiangshan._
24import utils._
25import utility._
26import xiangshan.backend.rob.RobPtr
27import xiangshan.cache._
28import xiangshan.backend.fu.FenceToSbuffer
29
30object genWmask {
31  def apply(addr: UInt, sizeEncode: UInt): UInt = {
32    (LookupTree(sizeEncode, List(
33      "b00".U -> 0x1.U, //0001 << addr(2:0)
34      "b01".U -> 0x3.U, //0011
35      "b10".U -> 0xf.U, //1111
36      "b11".U -> 0xff.U //11111111
37    )) << addr(2, 0)).asUInt()
38  }
39}
40
41object genWdata {
42  def apply(data: UInt, sizeEncode: UInt): UInt = {
43    LookupTree(sizeEncode, List(
44      "b00".U -> Fill(8, data(7, 0)),
45      "b01".U -> Fill(4, data(15, 0)),
46      "b10".U -> Fill(2, data(31, 0)),
47      "b11".U -> data
48    ))
49  }
50}
51
52class LsPipelineBundle(implicit p: Parameters) extends XSBundleWithMicroOp with HasDCacheParameters{
53  val vaddr = UInt(VAddrBits.W)
54  val paddr = UInt(PAddrBits.W)
55  // val func = UInt(6.W)
56  val mask = UInt(8.W)
57  val data = UInt((XLEN+1).W)
58  val wlineflag = Bool() // store write the whole cache line
59
60  val miss = Bool()
61  val tlbMiss = Bool()
62  val ptwBack = Bool()
63  val mmio = Bool()
64  val atomic = Bool()
65  val rsIdx = UInt(log2Up(IssQueSize).W)
66
67  val forwardMask = Vec(8, Bool())
68  val forwardData = Vec(8, UInt(8.W))
69
70  //softprefetch
71  val isSoftPrefetch = Bool()
72
73  // For debug usage
74  val isFirstIssue = Bool()
75
76  // For load replay
77  val isLoadReplay = Bool()
78
79  // For dcache miss load
80  val mshrid = UInt(log2Up(cfg.nMissEntries).W)
81
82  val forward_tlDchannel = Bool()
83}
84
85class LqWriteBundle(implicit p: Parameters) extends LsPipelineBundle {
86  // queue entry data, except flag bits, will be updated if writeQueue is true,
87  // valid bit in LqWriteBundle will be ignored
88  val lq_data_wen_dup = Vec(6, Bool()) // dirty reg dup
89
90  def fromLsPipelineBundle(input: LsPipelineBundle) = {
91    vaddr := input.vaddr
92    paddr := input.paddr
93    mask := input.mask
94    data := input.data
95    uop := input.uop
96    wlineflag := input.wlineflag
97    miss := input.miss
98    tlbMiss := input.tlbMiss
99    ptwBack := input.ptwBack
100    mmio := input.mmio
101    atomic := input.atomic
102    rsIdx := input.rsIdx
103    forwardMask := input.forwardMask
104    forwardData := input.forwardData
105    isSoftPrefetch := input.isSoftPrefetch
106    isFirstIssue := input.isFirstIssue
107    isLoadReplay := input.isLoadReplay
108    mshrid := input.mshrid
109    forward_tlDchannel := input.forward_tlDchannel
110
111    lq_data_wen_dup := DontCare
112  }
113}
114
115class LoadForwardQueryIO(implicit p: Parameters) extends XSBundleWithMicroOp {
116  val vaddr = Output(UInt(VAddrBits.W))
117  val paddr = Output(UInt(PAddrBits.W))
118  val mask = Output(UInt(8.W))
119  override val uop = Output(new MicroOp) // for replay
120  val pc = Output(UInt(VAddrBits.W)) //for debug
121  val valid = Output(Bool())
122
123  val forwardMaskFast = Input(Vec(8, Bool())) // resp to load_s1
124  val forwardMask = Input(Vec(8, Bool())) // resp to load_s2
125  val forwardData = Input(Vec(8, UInt(8.W))) // resp to load_s2
126
127  // val lqIdx = Output(UInt(LoadQueueIdxWidth.W))
128  val sqIdx = Output(new SqPtr)
129
130  // dataInvalid suggests store to load forward found forward should happen,
131  // but data is not available for now. If dataInvalid, load inst should
132  // be replayed from RS. Feedback type should be RSFeedbackType.dataInvalid
133  val dataInvalid = Input(Bool()) // Addr match, but data is not valid for now
134
135  // matchInvalid suggests in store to load forward logic, paddr cam result does
136  // to equal to vaddr cam result. If matchInvalid, a microarchitectural exception
137  // should be raised to flush SQ and committed sbuffer.
138  val matchInvalid = Input(Bool()) // resp to load_s2
139}
140
141// LoadForwardQueryIO used in load pipeline
142//
143// Difference between PipeLoadForwardQueryIO and LoadForwardQueryIO:
144// PipeIO use predecoded sqIdxMask for better forward timing
145class PipeLoadForwardQueryIO(implicit p: Parameters) extends LoadForwardQueryIO {
146  // val sqIdx = Output(new SqPtr) // for debug, should not be used in pipeline for timing reasons
147  // sqIdxMask is calcuated in earlier stage for better timing
148  val sqIdxMask = Output(UInt(StoreQueueSize.W))
149
150  // dataInvalid: addr match, but data is not valid for now
151  val dataInvalidFast = Input(Bool()) // resp to load_s1
152  // val dataInvalid = Input(Bool()) // resp to load_s2
153  val dataInvalidSqIdx = Input(UInt(log2Up(StoreQueueSize).W)) // resp to load_s2, sqIdx value
154}
155
156// Query load queue for ld-ld violation
157//
158// Req should be send in load_s1
159// Resp will be generated 1 cycle later
160//
161// Note that query req may be !ready, as dcache is releasing a block
162// If it happens, a replay from rs is needed.
163
164class LoadViolationQueryReq(implicit p: Parameters) extends XSBundleWithMicroOp { // provide lqIdx
165  val paddr = UInt(PAddrBits.W)
166}
167
168class LoadViolationQueryResp(implicit p: Parameters) extends XSBundle {
169  val have_violation = Bool()
170}
171
172class LoadViolationQueryIO(implicit p: Parameters) extends XSBundle {
173  val req = Decoupled(new LoadViolationQueryReq)
174  val resp = Flipped(Valid(new LoadViolationQueryResp))
175}
176
177class LoadReExecuteQueryIO(implicit p: Parameters) extends XSBundle {
178  //  robIdx: Requestor's (a store instruction) rob index for match logic.
179  val robIdx = new RobPtr
180
181  //  paddr: requestor's (a store instruction) physical address for match logic.
182  val paddr = UInt(PAddrBits.W)
183
184  //  mask: requestor's (a store instruction) data width mask for match logic.
185  val mask = UInt(8.W)
186}
187
188// Store byte valid mask write bundle
189//
190// Store byte valid mask write to SQ takes 2 cycles
191class StoreMaskBundle(implicit p: Parameters) extends XSBundle {
192  val sqIdx = new SqPtr
193  val mask = UInt(8.W)
194}
195
196class LoadDataFromDcacheBundle(implicit p: Parameters) extends DCacheBundle {
197  val bankedDcacheData = Vec(DCacheBanks, UInt(64.W))
198  val bank_oh = UInt(DCacheBanks.W)
199  val forwardMask = Vec(8, Bool())
200  val forwardData = Vec(8, UInt(8.W))
201  val uop = new MicroOp // for data selection, only fwen and fuOpType are used
202  val addrOffset = UInt(3.W) // for data selection
203
204  // forward tilelink D channel
205  val forward_D = Input(Bool())
206  val forwardData_D = Input(Vec(8, UInt(8.W)))
207
208  // forward mshr data
209  val forward_mshr = Input(Bool())
210  val forwardData_mshr = Input(Vec(8, UInt(8.W)))
211
212  val forward_result_valid = Input(Bool())
213
214  // val dcacheData = UInt(64.W)
215  def dcacheData(): UInt = {
216    val dcache_data = Mux1H(bank_oh, bankedDcacheData)
217    val use_D = forward_D && forward_result_valid
218    val use_mshr = forward_mshr && forward_result_valid
219    Mux(use_D, forwardData_D.asUInt, Mux(use_mshr, forwardData_mshr.asUInt, dcache_data))
220  }
221
222  def mergedData(): UInt = {
223    val rdataVec = VecInit((0 until XLEN / 8).map(j =>
224      Mux(forwardMask(j), forwardData(j), dcacheData()(8*(j+1)-1, 8*j))
225    ))
226    rdataVec.asUInt
227  }
228}
229
230// Load writeback data from load queue (refill)
231class LoadDataFromLQBundle(implicit p: Parameters) extends XSBundle {
232  val lqData = UInt(64.W) // load queue has merged data
233  val uop = new MicroOp // for data selection, only fwen and fuOpType are used
234  val addrOffset = UInt(3.W) // for data selection
235
236  def mergedData(): UInt = {
237    lqData
238  }
239}
240
241// Bundle for load / store wait waking up
242class MemWaitUpdateReq(implicit p: Parameters) extends XSBundle {
243  val staIssue = Vec(exuParameters.StuCnt, ValidIO(new ExuInput))
244  val stdIssue = Vec(exuParameters.StuCnt, ValidIO(new ExuInput))
245}
246
247object AddPipelineReg {
248  class PipelineRegModule[T <: Data](gen: T) extends Module {
249    val io = IO(new Bundle() {
250      val in = Flipped(DecoupledIO(gen.cloneType))
251      val out = DecoupledIO(gen.cloneType)
252      val isFlush = Input(Bool())
253    })
254
255    val valid = RegInit(false.B)
256    valid.suggestName("pipeline_reg_valid")
257    when (io.out.fire()) { valid := false.B }
258    when (io.in.fire()) { valid := true.B }
259    when (io.isFlush) { valid := false.B }
260
261    io.in.ready := !valid || io.out.ready
262    io.out.bits := RegEnable(io.in.bits, io.in.fire())
263    io.out.valid := valid //&& !isFlush
264  }
265
266  def apply[T <: Data]
267  (left: DecoupledIO[T], right: DecoupledIO[T], isFlush: Bool,
268   moduleName: Option[String] = None
269  ){
270    val pipelineReg = Module(new PipelineRegModule[T](left.bits.cloneType))
271    if(moduleName.nonEmpty) pipelineReg.suggestName(moduleName.get)
272    pipelineReg.io.in <> left
273    right <> pipelineReg.io.out
274    pipelineReg.io.isFlush := isFlush
275  }
276}
277