xref: /XiangShan/src/main/scala/xiangshan/frontend/Bim.scala (revision 85a8d7ca95be7636399af9f3c39382ab20231da7)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16/*
17package xiangshan.frontend
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import xiangshan._
23import utils._
24import utility._
25
26trait BimParams extends HasXSParameter {
27  val bimSize = 2048
28  val bypassEntries = 4
29}
30
31class BIM(implicit p: Parameters) extends BasePredictor with BimParams with BPUUtils {
32  val bimAddr = new TableAddr(log2Up(bimSize), 1)
33
34  val bim = Module(new SRAMTemplate(UInt(2.W), set = bimSize, way=numBr, shouldReset = false, holdRead = true))
35
36  val doing_reset = RegInit(true.B)
37  val resetRow = RegInit(0.U(log2Ceil(bimSize).W))
38  resetRow := resetRow + doing_reset
39  when (resetRow === (bimSize-1).U) { doing_reset := false.B }
40
41  val s0_idx = bimAddr.getIdx(s0_pc_dup(0))
42
43  // bim.io.r.req.valid := io.s0_fire
44  bim.io.r.req.valid := false.B
45  bim.io.r.req.bits.setIdx := s0_idx
46
47  io.in.ready := bim.io.r.req.ready
48  io.s1_ready := bim.io.r.req.ready
49
50  val s1_read = bim.io.r.resp.data
51
52  io.out := io.in.bits.resp_in(0)
53
54  val s1_latch_taken_mask = VecInit(Cat(((0 until numBr).reverse).map(i => s1_read(i)(1))).asBools)
55  val s1_latch_meta       = s1_read.asUInt
56  override val meta_size = s1_latch_meta.getWidth
57
58  // io.out.s1.full_pred.br_taken_mask := s1_latch_taken_mask
59  // io.out.s2.full_pred.br_taken_mask := RegEnable(s1_latch_taken_mask, 0.U.asTypeOf(Vec(numBr, Bool())), io.s1_fire)
60
61  io.out.last_stage_meta := RegEnable(RegEnable(s1_latch_meta, io.s1_fire(0)), io.s2_fire(0)) // TODO: configurable with total-stages
62
63  // Update logic
64  val u_valid = RegNext(io.update.valid)
65  val update = RegNext(io.update.bits)
66  val u_idx = bimAddr.getIdx(update.pc)
67
68  val update_mask = LowerMask(PriorityEncoderOH(update.br_taken_mask.asUInt))
69  val newCtrs = Wire(Vec(numBr, UInt(2.W)))
70  val need_to_update = VecInit((0 until numBr).map(i => u_valid && update.ftb_entry.brValids(i) && update_mask(i)))
71
72
73  // Bypass logic
74  val wrbypass = Module(new WrBypass(UInt(2.W), bypassEntries, log2Up(bimSize), numWays = numBr))
75  wrbypass.io.wen := need_to_update.reduce(_||_)
76  wrbypass.io.write_idx := u_idx
77  wrbypass.io.write_data := newCtrs
78  wrbypass.io.write_way_mask.map(_ := need_to_update)
79
80  val oldCtrs =
81    VecInit((0 until numBr).map(i =>
82      Mux(wrbypass.io.hit && wrbypass.io.hit_data(i).valid,
83        wrbypass.io.hit_data(i).bits,
84        update.meta(2*i+1, 2*i))
85    ))
86
87  val newTakens = update.br_taken_mask
88  newCtrs := VecInit((0 until numBr).map(i =>
89    satUpdate(oldCtrs(i), 2, newTakens(i))
90  ))
91
92
93  bim.io.w.apply(
94    valid = false.B,
95    // valid = need_to_update.asUInt.orR || doing_reset,
96    data = Mux(doing_reset, VecInit(Seq.fill(numBr)(2.U(2.W))), newCtrs),
97    setIdx = Mux(doing_reset, resetRow, u_idx),
98    waymask = Mux(doing_reset, Fill(numBr, 1.U(1.W)).asUInt, need_to_update.asUInt)
99  )
100
101  val latch_s0_fire = RegNext(io.s0_fire)
102
103  XSDebug(doing_reset, "Doing reset...\n")
104
105  XSDebug(io.s0_fire, "req_pc=%x, req_idx=%d\n", s0_pc_dup(0), s0_idx)
106
107  for(i <- 0 until numBr) {
108    XSDebug(latch_s0_fire, "last_cycle req %d: ctr=%b\n", i.U, s1_read(i))
109  }
110
111  XSDebug(u_valid, "update_pc=%x, update_idx=%d, is_br=%b\n", update.pc, u_idx, update.ftb_entry.brValids.asUInt)
112
113  XSDebug(u_valid, "newTakens=%b\n", newTakens.asUInt)
114
115  for(i <- 0 until numBr) {
116    XSDebug(u_valid, "oldCtrs%d=%b\n", i.U, oldCtrs(i))
117  }
118
119  for(i <- 0 until numBr) {
120    XSDebug(u_valid, "newCtrs%d=%b\n", i.U, newCtrs(i))
121  }
122
123}
124 */
125