xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/TLB.scala (revision 67ba96b4871c459c09df20e3052738174021a830)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache.mmu
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.internal.naming.chiselName
22import chisel3.util._
23import freechips.rocketchip.util.SRAMAnnotation
24import xiangshan._
25import utils._
26import utility._
27import xiangshan.backend.fu.{PMPChecker, PMPReqBundle, PMPConfig => XSPMPConfig}
28import xiangshan.backend.rob.RobPtr
29import xiangshan.backend.fu.util.HasCSRConst
30import firrtl.FirrtlProtos.Firrtl.Module.ExternalModule.Parameter
31import freechips.rocketchip.rocket.PMPConfig
32
33/** TLB module
34  * support block request and non-block request io at the same time
35  * return paddr at next cycle, then go for pmp/pma check
36  * @param Width: The number of requestors
37  * @param Block: Blocked or not for each requestor ports
38  * @param q: TLB Parameters, like entry number, each TLB has its own parameters
39  * @param p: XiangShan Paramemters, like XLEN
40  */
41
42@chiselName
43class TLB(Width: Int, nRespDups: Int = 1, Block: Seq[Boolean], q: TLBParameters)(implicit p: Parameters) extends TlbModule
44  with HasCSRConst
45  with HasPerfEvents
46{
47  val io = IO(new TlbIO(Width, nRespDups, q))
48
49  val req = io.requestor.map(_.req)
50  val resp = io.requestor.map(_.resp)
51  val ptw = io.ptw
52  val pmp = io.pmp
53
54  /** Sfence.vma & Svinval
55    * Sfence.vma will 1. flush old entries 2. flush inflight 3. flush pipe
56    * Svinval will 1. flush old entries 2. flush inflight
57    * So, Svinval will not flush pipe, which means
58    * it should not drop reqs from pipe and should return right resp
59    */
60  val sfence = DelayN(io.sfence, q.fenceDelay)
61  val csr = io.csr
62  val satp = DelayN(io.csr.satp, q.fenceDelay)
63  val flush_mmu = DelayN(sfence.valid || csr.satp.changed, q.fenceDelay)
64  val mmu_flush_pipe = DelayN(sfence.valid && sfence.bits.flushPipe, q.fenceDelay) // for svinval, won't flush pipe
65  val flush_pipe = io.flushPipe
66
67  // ATTENTION: csr and flush from backend are delayed. csr should not be later than flush.
68  // because, csr will influence tlb behavior.
69  val ifecth = if (q.fetchi) true.B else false.B
70  val mode = if (q.useDmode) csr.priv.dmode else csr.priv.imode
71  // val vmEnable = satp.mode === 8.U // && (mode < ModeM) // FIXME: fix me when boot xv6/linux...
72  val vmEnable = if (EnbaleTlbDebug) (satp.mode === 8.U)
73    else (satp.mode === 8.U && (mode < ModeM))
74
75  val req_in = req
76  val req_out = req.map(a => RegEnable(a.bits, a.fire()))
77  val req_out_v = (0 until Width).map(i => ValidHold(req_in(i).fire && !req_in(i).bits.kill, resp(i).fire, flush_pipe(i)))
78
79  val refill = ptw.resp.fire() && !flush_mmu && vmEnable
80  val entries = Module(new TlbStorageWrapper(Width, q, nRespDups))
81  entries.io.base_connect(sfence, csr, satp)
82  if (q.outReplace) { io.replace <> entries.io.replace }
83  for (i <- 0 until Width) {
84    entries.io.r_req_apply(io.requestor(i).req.valid, get_pn(req_in(i).bits.vaddr), i)
85    entries.io.w_apply(refill, ptw.resp.bits, io.ptw_replenish)
86  }
87
88  // read TLB, get hit/miss, paddr, perm bits
89  val readResult = (0 until Width).map(TLBRead(_))
90  val hitVec = readResult.map(_._1)
91  val missVec = readResult.map(_._2)
92  val pmp_addr = readResult.map(_._3)
93  val static_pm = readResult.map(_._4)
94  val static_pm_v = readResult.map(_._5)
95  val perm = readResult.map(_._6)
96
97  // check pmp use paddr (for timing optization, use pmp_addr here)
98  // check permisson
99  (0 until Width).foreach{i =>
100    pmp_check(pmp_addr(i), req_out(i).size, req_out(i).cmd, i)
101    for (d <- 0 until nRespDups) {
102      perm_check(perm(i)(d), req_out(i).cmd, static_pm(i), static_pm_v(i), i, d)
103    }
104  }
105
106  // handle block or non-block io
107  // for non-block io, just return the above result, send miss to ptw
108  // for block io, hold the request, send miss to ptw,
109  //   when ptw back, return the result
110  (0 until Width) foreach {i =>
111    if (Block(i)) handle_block(i)
112    else handle_nonblock(i)
113  }
114  io.ptw.resp.ready := true.B
115
116  /************************  main body above | method/log/perf below ****************************/
117  def TLBRead(i: Int) = {
118    val (e_hit, e_ppn, e_perm, e_super_hit, e_super_ppn, static_pm) = entries.io.r_resp_apply(i)
119    val (p_hit, p_ppn, p_perm) = ptw_resp_bypass(get_pn(req_in(i).bits.vaddr))
120
121    val hit = e_hit || p_hit
122    val miss = !hit && vmEnable
123    val fast_miss = !(e_super_hit || p_hit) && vmEnable
124    hit.suggestName(s"hit_read_${i}")
125    miss.suggestName(s"miss_read_${i}")
126
127    val vaddr = SignExt(req_out(i).vaddr, PAddrBits)
128    resp(i).bits.miss := miss
129    resp(i).bits.fast_miss := fast_miss
130    resp(i).bits.ptwBack := ptw.resp.fire()
131
132    val ppn = WireInit(VecInit(Seq.fill(nRespDups)(0.U(ppnLen.W))))
133    val perm = WireInit(VecInit(Seq.fill(nRespDups)(0.U.asTypeOf(new TlbPermBundle))))
134
135    for (d <- 0 until nRespDups) {
136      ppn(d) := Mux(p_hit, p_ppn, e_ppn(d))
137      perm(d) := Mux(p_hit, p_perm, e_perm(d))
138
139      val paddr = Cat(ppn(d), get_off(req_out(i).vaddr))
140      resp(i).bits.paddr(d) := Mux(vmEnable, paddr, vaddr)
141    }
142
143    XSDebug(req_out_v(i), p"(${i.U}) hit:${hit} miss:${miss} ppn:${Hexadecimal(ppn(0))} perm:${perm(0)}\n")
144
145    val pmp_paddr = Mux(vmEnable, Cat(Mux(p_hit, p_ppn, e_super_ppn), get_off(req_out(i).vaddr)), vaddr)
146    // pmp_paddr seems same to paddr functionally. It abandons normal_ppn for timing optimization.
147    // val pmp_paddr = Mux(vmEnable, paddr, vaddr)
148    val static_pm_valid = !(e_super_hit || p_hit) && vmEnable && q.partialStaticPMP.B
149
150    (hit, miss, pmp_paddr, static_pm, static_pm_valid, perm)
151  }
152
153  def pmp_check(addr: UInt, size: UInt, cmd: UInt, idx: Int): Unit = {
154    pmp(idx).valid := resp(idx).valid
155    pmp(idx).bits.addr := addr
156    pmp(idx).bits.size := size
157    pmp(idx).bits.cmd := cmd
158  }
159
160  def perm_check(perm: TlbPermBundle, cmd: UInt, spm: TlbPMBundle, spm_v: Bool, idx: Int, nDups: Int) = {
161    // for timing optimization, pmp check is divided into dynamic and static
162    // dynamic: superpage (or full-connected reg entries) -> check pmp when translation done
163    // static: 4K pages (or sram entries) -> check pmp with pre-checked results
164    val af = perm.af
165    val pf = perm.pf
166    val ldUpdate = !perm.a && TlbCmd.isRead(cmd) && !TlbCmd.isAmo(cmd) // update A/D through exception
167    val stUpdate = (!perm.a || !perm.d) && (TlbCmd.isWrite(cmd) || TlbCmd.isAmo(cmd)) // update A/D through exception
168    val instrUpdate = !perm.a && TlbCmd.isExec(cmd) // update A/D through exception
169    val modeCheck = !(mode === ModeU && !perm.u || mode === ModeS && perm.u && (!io.csr.priv.sum || ifecth))
170    val ldPermFail = !(modeCheck && (perm.r || io.csr.priv.mxr && perm.x))
171    val stPermFail = !(modeCheck && perm.w)
172    val instrPermFail = !(modeCheck && perm.x)
173    val ldPf = (ldPermFail || pf) && (TlbCmd.isRead(cmd) && !TlbCmd.isAmo(cmd))
174    val stPf = (stPermFail || pf) && (TlbCmd.isWrite(cmd) || TlbCmd.isAmo(cmd))
175    val instrPf = (instrPermFail || pf) && TlbCmd.isExec(cmd)
176    val fault_valid = vmEnable
177    resp(idx).bits.excp(nDups).pf.ld := (ldPf || ldUpdate) && fault_valid && !af
178    resp(idx).bits.excp(nDups).pf.st := (stPf || stUpdate) && fault_valid && !af
179    resp(idx).bits.excp(nDups).pf.instr := (instrPf || instrUpdate) && fault_valid && !af
180    // NOTE: pf need && with !af, page fault has higher priority than access fault
181    // but ptw may also have access fault, then af happens, the translation is wrong.
182    // In this case, pf has lower priority than af
183
184    resp(idx).bits.excp(nDups).af.ld    := (af || (spm_v && !spm.r)) && TlbCmd.isRead(cmd) && fault_valid
185    resp(idx).bits.excp(nDups).af.st    := (af || (spm_v && !spm.w)) && TlbCmd.isWrite(cmd) && fault_valid
186    resp(idx).bits.excp(nDups).af.instr := (af || (spm_v && !spm.x)) && TlbCmd.isExec(cmd) && fault_valid
187    resp(idx).bits.static_pm.valid := spm_v && fault_valid // ls/st unit should use this mmio, not the result from pmp
188    resp(idx).bits.static_pm.bits := !spm.c
189  }
190
191  def handle_nonblock(idx: Int): Unit = {
192    io.requestor(idx).resp.valid := req_out_v(idx)
193    io.requestor(idx).req.ready := io.requestor(idx).resp.ready // should always be true
194    XSError(!io.requestor(idx).resp.ready, s"${q.name} port ${idx} is non-block, resp.ready must be true.B")
195
196    val ptw_just_back = ptw.resp.fire && ptw.resp.bits.entry.hit(get_pn(req_out(idx).vaddr), asid = io.csr.satp.asid, allType = true)
197    io.ptw.req(idx).valid :=  RegNext(req_out_v(idx) && missVec(idx) && !ptw_just_back, false.B) // TODO: remove the regnext, timing
198    when (RegEnable(io.requestor(idx).req_kill, RegNext(io.requestor(idx).req.fire))) {
199      io.ptw.req(idx).valid := false.B
200    }
201    io.ptw.req(idx).bits.vpn := RegNext(get_pn(req_out(idx).vaddr))
202  }
203
204  def handle_block(idx: Int): Unit = {
205    // three valid: 1.if exist a entry; 2.if sent to ptw; 3.unset resp.valid
206    io.requestor(idx).req.ready := !req_out_v(idx) || io.requestor(idx).resp.fire()
207    // req_out_v for if there is a request, may long latency, fixme
208
209    // miss request entries
210    val miss_req_vpn = get_pn(req_out(idx).vaddr)
211    val hit = io.ptw.resp.bits.entry.hit(miss_req_vpn, io.csr.satp.asid, allType = true) && io.ptw.resp.valid
212
213    val new_coming = RegNext(req_in(idx).fire && !req_in(idx).bits.kill && !flush_pipe(idx), false.B)
214    val miss_wire = new_coming && missVec(idx)
215    val miss_v = ValidHoldBypass(miss_wire, resp(idx).fire(), flush_pipe(idx))
216    val miss_req_v = ValidHoldBypass(miss_wire || (miss_v && flush_mmu && !mmu_flush_pipe),
217      io.ptw.req(idx).fire() || resp(idx).fire(), flush_pipe(idx))
218
219    // when ptw resp, check if hit, reset miss_v, resp to lsu/ifu
220    resp(idx).valid := req_out_v(idx) && !(miss_v && vmEnable)
221    when (io.ptw.resp.fire() && hit && req_out_v(idx) && vmEnable) {
222      val pte = io.ptw.resp.bits
223      resp(idx).valid := true.B
224      resp(idx).bits.miss := false.B // for blocked tlb, this is useless
225      for (d <- 0 until nRespDups) {
226        resp(idx).bits.paddr(d) := Cat(pte.entry.genPPN(get_pn(req_out(idx).vaddr)), get_off(req_out(idx).vaddr))
227        perm_check(pte, req_out(idx).cmd, 0.U.asTypeOf(new TlbPMBundle), false.B, idx, d)
228      }
229      pmp_check(resp(idx).bits.paddr(0), req_out(idx).size, req_out(idx).cmd, idx)
230
231      // NOTE: the unfiltered req would be handled by Repeater
232    }
233    assert(RegNext(!resp(idx).valid || resp(idx).ready, true.B), "when tlb resp valid, ready should be true, must")
234    assert(RegNext(req_out_v(idx) || !(miss_v || miss_req_v), true.B), "when not req_out_v, should not set miss_v/miss_req_v")
235
236    val ptw_req = io.ptw.req(idx)
237    ptw_req.valid := miss_req_v
238    ptw_req.bits.vpn := miss_req_vpn
239
240    // NOTE: when flush pipe, tlb should abandon last req
241    // however, some outside modules like icache, dont care flushPipe, and still waiting for tlb resp
242    // just resp valid and raise page fault to go through. The pipe(ifu) will abandon it.
243    if (!q.outsideRecvFlush) {
244      when (req_out_v(idx) && flush_pipe(idx) && vmEnable) {
245        resp(idx).valid := true.B
246        for (d <- 0 until nRespDups) {
247          resp(idx).bits.excp(d).pf.ld := true.B // sfence happened, pf for not to use this addr
248          resp(idx).bits.excp(d).pf.st := true.B
249          resp(idx).bits.excp(d).pf.instr := true.B
250        }
251      }
252    }
253  }
254
255  // when ptw resp, tlb at refill_idx maybe set to miss by force.
256  // Bypass ptw resp to check.
257  def ptw_resp_bypass(vpn: UInt) = {
258    val p_hit = RegNext(ptw.resp.bits.entry.hit(vpn, io.csr.satp.asid, allType = true) && io.ptw.resp.fire)
259    val p_ppn = RegEnable(ptw.resp.bits.entry.genPPN(vpn), io.ptw.resp.fire)
260    val p_perm = RegEnable(ptwresp_to_tlbperm(ptw.resp.bits), io.ptw.resp.fire)
261    (p_hit, p_ppn, p_perm)
262  }
263
264  // assert
265  for(i <- 0 until Width) {
266    TimeOutAssert(req_out_v(i) && !resp(i).valid, timeOutThreshold, s"{q.name} port{i} long time no resp valid.")
267  }
268
269  // perf event
270  val result_ok = req_in.map(a => RegNext(a.fire()))
271  val perfEvents =
272    Seq(
273      ("access", PopCount((0 until Width).map{i => if (Block(i)) io.requestor(i).req.fire() else vmEnable && result_ok(i) })),
274      ("miss  ", PopCount((0 until Width).map{i => if (Block(i)) vmEnable && result_ok(i) && missVec(i) else ptw.req(i).fire() })),
275    )
276  generatePerfEvent()
277
278  // perf log
279  for (i <- 0 until Width) {
280    if (Block(i)) {
281      XSPerfAccumulate(s"access${i}",result_ok(i)  && vmEnable)
282      XSPerfAccumulate(s"miss${i}", result_ok(i) && missVec(i))
283    } else {
284      XSPerfAccumulate("first_access" + Integer.toString(i, 10), result_ok(i) && vmEnable && RegNext(req(i).bits.debug.isFirstIssue))
285      XSPerfAccumulate("access" + Integer.toString(i, 10), result_ok(i) && vmEnable)
286      XSPerfAccumulate("first_miss" + Integer.toString(i, 10), result_ok(i) && vmEnable && missVec(i) && RegNext(req(i).bits.debug.isFirstIssue))
287      XSPerfAccumulate("miss" + Integer.toString(i, 10), result_ok(i) && vmEnable && missVec(i))
288    }
289  }
290  XSPerfAccumulate("ptw_resp_count", ptw.resp.fire())
291  XSPerfAccumulate("ptw_resp_pf_count", ptw.resp.fire() && ptw.resp.bits.pf)
292
293  // Log
294  for(i <- 0 until Width) {
295    XSDebug(req(i).valid, p"req(${i.U}): (${req(i).valid} ${req(i).ready}) ${req(i).bits}\n")
296    XSDebug(resp(i).valid, p"resp(${i.U}): (${resp(i).valid} ${resp(i).ready}) ${resp(i).bits}\n")
297  }
298
299  XSDebug(io.sfence.valid, p"Sfence: ${io.sfence}\n")
300  XSDebug(ParallelOR(req_out_v) || ptw.resp.valid, p"vmEnable:${vmEnable} hit:${Binary(VecInit(hitVec).asUInt)} miss:${Binary(VecInit(missVec).asUInt)}\n")
301  for (i <- ptw.req.indices) {
302    XSDebug(ptw.req(i).fire(), p"L2TLB req:${ptw.req(i).bits}\n")
303  }
304  XSDebug(ptw.resp.valid, p"L2TLB resp:${ptw.resp.bits} (v:${ptw.resp.valid}r:${ptw.resp.ready}) \n")
305
306  println(s"${q.name}: normal page: ${q.normalNWays} ${q.normalAssociative} ${q.normalReplacer.get} super page: ${q.superNWays} ${q.superAssociative} ${q.superReplacer.get}")
307
308}
309
310class TLBNonBlock(Width: Int, nRespDups: Int = 1, q: TLBParameters)(implicit p: Parameters) extends TLB(Width, nRespDups, Seq.fill(Width)(false), q)
311class TLBBLock(Width: Int, nRespDups: Int = 1, q: TLBParameters)(implicit p: Parameters) extends TLB(Width, nRespDups, Seq.fill(Width)(true), q)
312
313class TlbReplace(Width: Int, q: TLBParameters)(implicit p: Parameters) extends TlbModule {
314  val io = IO(new TlbReplaceIO(Width, q))
315
316  if (q.normalAssociative == "fa") {
317    val re = ReplacementPolicy.fromString(q.normalReplacer, q.normalNWays)
318    re.access(io.normalPage.access.map(_.touch_ways))
319    io.normalPage.refillIdx := re.way
320  } else { // set-acco && plru
321    val re = ReplacementPolicy.fromString(q.normalReplacer, q.normalNSets, q.normalNWays)
322    re.access(io.normalPage.access.map(_.sets), io.normalPage.access.map(_.touch_ways))
323    io.normalPage.refillIdx := { if (q.normalNWays == 1) 0.U else re.way(io.normalPage.chosen_set) }
324  }
325
326  if (q.superAssociative == "fa") {
327    val re = ReplacementPolicy.fromString(q.superReplacer, q.superNWays)
328    re.access(io.superPage.access.map(_.touch_ways))
329    io.superPage.refillIdx := re.way
330  } else { // set-acco && plru
331    val re = ReplacementPolicy.fromString(q.superReplacer, q.superNSets, q.superNWays)
332    re.access(io.superPage.access.map(_.sets), io.superPage.access.map(_.touch_ways))
333    io.superPage.refillIdx := { if (q.superNWays == 1) 0.U else re.way(io.superPage.chosen_set) }
334  }
335}
336