xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/PageTableWalker.scala (revision 99c30548644a85f8d5466444a6c3c0e8caaa7a29)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache.mmu
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import xiangshan._
23import xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
24import utils._
25import utility._
26import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
27import freechips.rocketchip.tilelink._
28import xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
29
30/** Page Table Walk is divided into two parts
31  * One,   PTW: page walk for pde, except for leaf entries, one by one
32  * Two, LLPTW: page walk for pte, only the leaf entries(4KB), in parallel
33  */
34
35
36/** PTW : page table walker
37  * a finite state machine
38  * only take 1GB and 2MB page walks
39  * or in other words, except the last level(leaf)
40  **/
41class PTWIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
42  val req = Flipped(DecoupledIO(new Bundle {
43    val req_info = new L2TlbInnerBundle()
44    val l1Hit = Bool()
45    val ppn = UInt(gvpnLen.W)
46    val stage1Hit = Bool()
47    val stage1 = new PtwMergeResp
48  }))
49  val resp = DecoupledIO(new Bundle {
50    val source = UInt(bSourceWidth.W)
51    val s2xlate = UInt(2.W)
52    val resp = new PtwMergeResp
53    val h_resp = new HptwResp
54  })
55
56  val llptw = DecoupledIO(new LLPTWInBundle())
57  // NOTE: llptw change from "connect to llptw" to "connect to page cache"
58  // to avoid corner case that caused duplicate entries
59
60  val hptw = new Bundle {
61    val req = DecoupledIO(new Bundle {
62      val source = UInt(bSourceWidth.W)
63      val id = UInt(log2Up(l2tlbParams.llptwsize).W)
64      val gvpn = UInt(vpnLen.W)
65    })
66    val resp = Flipped(Valid(new Bundle {
67      val h_resp = Output(new HptwResp)
68    }))
69  }
70  val mem = new Bundle {
71    val req = DecoupledIO(new L2TlbMemReqBundle())
72    val resp = Flipped(ValidIO(UInt(XLEN.W)))
73    val mask = Input(Bool())
74  }
75  val pmp = new Bundle {
76    val req = ValidIO(new PMPReqBundle())
77    val resp = Flipped(new PMPRespBundle())
78  }
79
80  val refill = Output(new Bundle {
81    val req_info = new L2TlbInnerBundle()
82    val level = UInt(log2Up(Level).W)
83  })
84}
85
86class PTW()(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents {
87  val io = IO(new PTWIO)
88  val sfence = io.sfence
89  val mem = io.mem
90  val req_s2xlate = Reg(UInt(2.W))
91  val enableS2xlate = req_s2xlate =/= noS2xlate
92  val onlyS1xlate = req_s2xlate === onlyStage1
93  val onlyS2xlate = req_s2xlate === onlyStage2
94
95  val satp = Mux(enableS2xlate, io.csr.vsatp, io.csr.satp)
96  val hgatp = io.csr.hgatp
97  val flush = io.sfence.valid || io.csr.satp.changed || io.csr.vsatp.changed || io.csr.hgatp.changed
98  val s2xlate = enableS2xlate && !onlyS1xlate
99  val level = RegInit(0.U(log2Up(Level).W))
100  val af_level = RegInit(0.U(log2Up(Level).W)) // access fault return this level
101  val ppn = Reg(UInt(gvpnLen.W))
102  val vpn = Reg(UInt(vpnLen.W)) // vpn or gvpn(onlyS2xlate)
103  val levelNext = level + 1.U
104  val l1Hit = Reg(Bool())
105  val pte = mem.resp.bits.asTypeOf(new PteBundle().cloneType)
106
107  // s/w register
108  val s_pmp_check = RegInit(true.B)
109  val s_mem_req = RegInit(true.B)
110  val s_llptw_req = RegInit(true.B)
111  val w_mem_resp = RegInit(true.B)
112  val s_hptw_req = RegInit(true.B)
113  val w_hptw_resp = RegInit(true.B)
114  val s_last_hptw_req = RegInit(true.B)
115  val w_last_hptw_resp = RegInit(true.B)
116  // for updating "level"
117  val mem_addr_update = RegInit(false.B)
118
119  val idle = RegInit(true.B)
120  val finish = WireInit(false.B)
121  val sent_to_pmp = idle === false.B && (s_pmp_check === false.B || mem_addr_update) && !finish
122
123  val pageFault = pte.isPf(level)
124  val accessFault = RegEnable(io.pmp.resp.ld || io.pmp.resp.mmio, sent_to_pmp)
125
126  val hptw_pageFault = RegInit(false.B)
127  val hptw_accessFault = RegInit(false.B)
128  val last_s2xlate = RegInit(false.B)
129  val stage1Hit = RegEnable(io.req.bits.stage1Hit, io.req.fire)
130  val stage1 = RegEnable(io.req.bits.stage1, io.req.fire)
131  val hptw_resp_stage2 = Reg(Bool())
132
133  val ppn_af = Mux(s2xlate, pte.isStage1Af(), pte.isAf()) // In two-stage address translation, stage 1 ppn is a vpn for host, so don't need to check ppn_high
134  val guest_fault = hptw_pageFault || hptw_accessFault
135  val find_pte = pte.isLeaf() || ppn_af || pageFault
136  val to_find_pte = level === 1.U && find_pte === false.B
137  val source = RegEnable(io.req.bits.req_info.source, io.req.fire)
138
139  val l1addr = MakeAddr(satp.ppn, getVpnn(vpn, 2))
140  val l2addr = MakeAddr(Mux(l1Hit, ppn, pte.getPPN()), getVpnn(vpn, 1))
141  val mem_addr = Mux(af_level === 0.U, l1addr, l2addr)
142
143  val hptw_resp = RegEnable(io.hptw.resp.bits.h_resp, io.hptw.resp.fire)
144  val gpaddr = MuxCase(mem_addr, Seq(
145    stage1Hit -> Cat(stage1.genPPN(), 0.U(offLen.W)),
146    onlyS2xlate -> Cat(vpn, 0.U(offLen.W)),
147    !s_last_hptw_req -> Cat(MuxLookup(level, pte.getPPN())(Seq(
148      0.U -> Cat(pte.getPPN()(gvpnLen - 1, vpnnLen * 2), vpn(vpnnLen * 2 - 1, 0)),
149      1.U -> Cat(pte.getPPN()(gvpnLen - 1, vpnnLen), vpn(vpnnLen - 1, 0)
150    ))),
151    0.U(offLen.W))
152  ))
153  val hpaddr = Cat(hptw_resp.genPPNS2(get_pn(gpaddr)), get_off(gpaddr))
154
155  io.req.ready := idle
156  val fake_pte = 0.U.asTypeOf(pte)
157  fake_pte.perm.v := true.B
158  fake_pte.perm.r := true.B
159  fake_pte.perm.w := true.B
160  fake_pte.perm.x := true.B
161  val ptw_resp = Wire(new PtwMergeResp)
162  ptw_resp.apply(pageFault && !accessFault && !ppn_af, accessFault || ppn_af, Mux(accessFault, af_level,level), Mux(guest_fault && level === 0.U, fake_pte, pte), vpn, satp.asid, hgatp.asid, vpn(sectortlbwidth - 1, 0), not_super = false)
163
164  val normal_resp = idle === false.B && mem_addr_update && !last_s2xlate && (guest_fault || (w_mem_resp && find_pte) || (s_pmp_check && accessFault) || onlyS2xlate )
165  val stageHit_resp = idle === false.B && hptw_resp_stage2
166  io.resp.valid := Mux(stage1Hit, stageHit_resp, normal_resp)
167  io.resp.bits.source := source
168  io.resp.bits.resp := Mux(stage1Hit, stage1, ptw_resp)
169  io.resp.bits.h_resp := hptw_resp
170  io.resp.bits.s2xlate := req_s2xlate
171
172  io.llptw.valid := s_llptw_req === false.B && to_find_pte && !accessFault
173  io.llptw.bits.req_info.source := source
174  io.llptw.bits.req_info.vpn := vpn
175  io.llptw.bits.req_info.s2xlate := req_s2xlate
176  io.llptw.bits.ppn := DontCare
177
178  io.pmp.req.valid := DontCare // samecycle, do not use valid
179  io.pmp.req.bits.addr := Mux(s2xlate, hpaddr, mem_addr)
180  io.pmp.req.bits.size := 3.U // TODO: fix it
181  io.pmp.req.bits.cmd := TlbCmd.read
182
183  mem.req.valid := s_mem_req === false.B && !mem.mask && !accessFault && s_pmp_check
184  mem.req.bits.addr := Mux(s2xlate, hpaddr, mem_addr)
185  mem.req.bits.id := FsmReqID.U(bMemID.W)
186  mem.req.bits.hptw_bypassed := false.B
187
188  io.refill.req_info.s2xlate := Mux(enableS2xlate, onlyStage1, req_s2xlate) // ptw refill the pte of stage 1 when s2xlate is enabled
189  io.refill.req_info.vpn := vpn
190  io.refill.level := level
191  io.refill.req_info.source := source
192
193  io.hptw.req.valid := !s_hptw_req || !s_last_hptw_req
194  io.hptw.req.bits.id := FsmReqID.U(bMemID.W)
195  io.hptw.req.bits.gvpn := get_pn(gpaddr)
196  io.hptw.req.bits.source := source
197
198  when (io.req.fire && io.req.bits.stage1Hit){
199    idle := false.B
200    req_s2xlate := io.req.bits.req_info.s2xlate
201    s_hptw_req := false.B
202    hptw_resp_stage2 := false.B
203    last_s2xlate := false.B
204    hptw_pageFault := false.B
205    hptw_accessFault := false.B
206  }
207
208  when (io.hptw.resp.fire && w_hptw_resp === false.B && stage1Hit){
209    w_hptw_resp := true.B
210    hptw_resp_stage2 := true.B
211  }
212
213  when (io.resp.fire && stage1Hit){
214    idle := true.B
215  }
216
217  when (io.req.fire && !io.req.bits.stage1Hit){
218    val req = io.req.bits
219    level := Mux(req.l1Hit, 1.U, 0.U)
220    af_level := Mux(req.l1Hit, 1.U, 0.U)
221    ppn := Mux(req.l1Hit, io.req.bits.ppn, satp.ppn)
222    vpn := io.req.bits.req_info.vpn
223    l1Hit := req.l1Hit
224    accessFault := false.B
225    idle := false.B
226    hptw_pageFault := false.B
227    hptw_accessFault := false.B
228    req_s2xlate := io.req.bits.req_info.s2xlate
229    when(io.req.bits.req_info.s2xlate =/= noS2xlate && io.req.bits.req_info.s2xlate =/= onlyStage1){
230      last_s2xlate := true.B
231      s_hptw_req := false.B
232    }.otherwise {
233      last_s2xlate := false.B
234      s_pmp_check := false.B
235    }
236  }
237
238  when(io.hptw.req.fire && s_hptw_req === false.B){
239    s_hptw_req := true.B
240    w_hptw_resp := false.B
241  }
242
243  when(io.hptw.resp.fire && w_hptw_resp === false.B && !stage1Hit) {
244    hptw_pageFault := io.hptw.resp.bits.h_resp.gpf
245    hptw_accessFault := io.hptw.resp.bits.h_resp.gaf
246    w_hptw_resp := true.B
247    when(onlyS2xlate){
248      mem_addr_update := true.B
249      last_s2xlate := false.B
250    }.elsewhen(!(io.hptw.resp.bits.h_resp.gpf || io.hptw.resp.bits.h_resp.gaf)) {
251      s_pmp_check := false.B
252    }
253  }
254
255  when(io.hptw.req.fire && s_last_hptw_req === false.B) {
256    w_last_hptw_resp := false.B
257    s_last_hptw_req := true.B
258  }
259
260  when(io.hptw.resp.fire && w_last_hptw_resp === false.B){
261    hptw_pageFault := io.hptw.resp.bits.h_resp.gpf
262    hptw_accessFault := io.hptw.resp.bits.h_resp.gaf
263    w_last_hptw_resp := true.B
264    mem_addr_update := true.B
265    last_s2xlate := false.B
266  }
267
268  when(sent_to_pmp && mem_addr_update === false.B){
269    s_mem_req := false.B
270    s_pmp_check := true.B
271  }
272
273  when(accessFault && idle === false.B){
274    s_pmp_check := true.B
275    s_mem_req := true.B
276    w_mem_resp := true.B
277    s_llptw_req := true.B
278    s_hptw_req := true.B
279    w_hptw_resp := true.B
280    s_last_hptw_req := true.B
281    w_last_hptw_resp := true.B
282    mem_addr_update := true.B
283    last_s2xlate := false.B
284  }
285
286  when(guest_fault && idle === false.B){
287    s_pmp_check := true.B
288    s_mem_req := true.B
289    w_mem_resp := true.B
290    s_llptw_req := true.B
291    s_hptw_req := true.B
292    w_hptw_resp := true.B
293    s_last_hptw_req := true.B
294    w_last_hptw_resp := true.B
295    mem_addr_update := true.B
296    last_s2xlate := false.B
297  }
298
299  when (mem.req.fire){
300    s_mem_req := true.B
301    w_mem_resp := false.B
302  }
303
304  when(mem.resp.fire && w_mem_resp === false.B){
305    w_mem_resp := true.B
306    af_level := af_level + 1.U
307    s_llptw_req := false.B
308    mem_addr_update := true.B
309  }
310
311  when(mem_addr_update){
312    when(level === 0.U && !onlyS2xlate && !(guest_fault || find_pte || accessFault)){
313      level := levelNext
314      when(s2xlate){
315        s_hptw_req := false.B
316      }.otherwise{
317        s_mem_req := false.B
318      }
319      s_llptw_req := true.B
320      mem_addr_update := false.B
321    }.elsewhen(io.llptw.valid){
322      when(io.llptw.fire) {
323        idle := true.B
324        s_llptw_req := true.B
325        mem_addr_update := false.B
326        last_s2xlate := false.B
327      }
328      finish := true.B
329    }.elsewhen(s2xlate && last_s2xlate === true.B) {
330      when(accessFault || pageFault || ppn_af){
331        last_s2xlate := false.B
332      }.otherwise{
333        s_last_hptw_req := false.B
334        mem_addr_update := false.B
335      }
336    }.elsewhen(io.resp.valid){
337      when(io.resp.fire) {
338        idle := true.B
339        s_llptw_req := true.B
340        mem_addr_update := false.B
341        accessFault := false.B
342      }
343      finish := true.B
344    }
345  }
346
347
348  when (flush) {
349    idle := true.B
350    s_pmp_check := true.B
351    s_mem_req := true.B
352    s_llptw_req := true.B
353    w_mem_resp := true.B
354    accessFault := false.B
355    mem_addr_update := false.B
356    s_hptw_req := true.B
357    w_hptw_resp := true.B
358    s_last_hptw_req := true.B
359    w_last_hptw_resp := true.B
360  }
361
362
363  XSDebug(p"[ptw] level:${level} notFound:${pageFault}\n")
364
365  // perf
366  XSPerfAccumulate("fsm_count", io.req.fire)
367  for (i <- 0 until PtwWidth) {
368    XSPerfAccumulate(s"fsm_count_source${i}", io.req.fire && io.req.bits.req_info.source === i.U)
369  }
370  XSPerfAccumulate("fsm_busy", !idle)
371  XSPerfAccumulate("fsm_idle", idle)
372  XSPerfAccumulate("resp_blocked", io.resp.valid && !io.resp.ready)
373  XSPerfAccumulate("ptw_ppn_af", io.resp.fire && ppn_af)
374  XSPerfAccumulate("mem_count", mem.req.fire)
375  XSPerfAccumulate("mem_cycle", BoolStopWatch(mem.req.fire, mem.resp.fire, true))
376  XSPerfAccumulate("mem_blocked", mem.req.valid && !mem.req.ready)
377
378  TimeOutAssert(!idle, timeOutThreshold, "page table walker time out")
379
380  val perfEvents = Seq(
381    ("fsm_count         ", io.req.fire                                     ),
382    ("fsm_busy          ", !idle                                             ),
383    ("fsm_idle          ", idle                                              ),
384    ("resp_blocked      ", io.resp.valid && !io.resp.ready                   ),
385    ("mem_count         ", mem.req.fire                                    ),
386    ("mem_cycle         ", BoolStopWatch(mem.req.fire, mem.resp.fire, true)),
387    ("mem_blocked       ", mem.req.valid && !mem.req.ready                   ),
388  )
389  generatePerfEvent()
390}
391
392/*========================= LLPTW ==============================*/
393
394/** LLPTW : Last Level Page Table Walker
395  * the page walker that only takes 4KB(last level) page walk.
396  **/
397
398class LLPTWInBundle(implicit p: Parameters) extends XSBundle with HasPtwConst {
399  val req_info = Output(new L2TlbInnerBundle())
400  val ppn = Output(UInt(gvpnLen.W))
401}
402
403class LLPTWIO(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
404  val in = Flipped(DecoupledIO(new LLPTWInBundle()))
405  val out = DecoupledIO(new Bundle {
406    val req_info = Output(new L2TlbInnerBundle())
407    val id = Output(UInt(bMemID.W))
408    val h_resp = Output(new HptwResp)
409    val first_s2xlate_fault = Output(Bool()) // Whether the first stage 2 translation occurs pf/af
410    val af = Output(Bool())
411  })
412  val mem = new Bundle {
413    val req = DecoupledIO(new L2TlbMemReqBundle())
414    val resp = Flipped(Valid(new Bundle {
415      val id = Output(UInt(log2Up(l2tlbParams.llptwsize).W))
416      val value = Output(UInt(blockBits.W))
417    }))
418    val enq_ptr = Output(UInt(log2Ceil(l2tlbParams.llptwsize).W))
419    val buffer_it = Output(Vec(l2tlbParams.llptwsize, Bool()))
420    val refill = Output(new L2TlbInnerBundle())
421    val req_mask = Input(Vec(l2tlbParams.llptwsize, Bool()))
422  }
423  val cache = DecoupledIO(new L2TlbInnerBundle())
424  val pmp = new Bundle {
425    val req = Valid(new PMPReqBundle())
426    val resp = Flipped(new PMPRespBundle())
427  }
428  val hptw = new Bundle {
429    val req = DecoupledIO(new Bundle{
430      val source = UInt(bSourceWidth.W)
431      val id = UInt(log2Up(l2tlbParams.llptwsize).W)
432      val gvpn = UInt(vpnLen.W)
433    })
434    val resp = Flipped(Valid(new Bundle {
435      val id = Output(UInt(log2Up(l2tlbParams.llptwsize).W))
436      val h_resp = Output(new HptwResp)
437    }))
438  }
439}
440
441class LLPTWEntry(implicit p: Parameters) extends XSBundle with HasPtwConst {
442  val req_info = new L2TlbInnerBundle()
443  val ppn = UInt(gvpnLen.W)
444  val wait_id = UInt(log2Up(l2tlbParams.llptwsize).W)
445  val af = Bool()
446  val hptw_resp = new HptwResp()
447  val first_s2xlate_fault = Output(Bool())
448}
449
450
451class LLPTW(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents {
452  val io = IO(new LLPTWIO())
453  val enableS2xlate = io.in.bits.req_info.s2xlate =/= noS2xlate
454  val satp = Mux(enableS2xlate, io.csr.vsatp, io.csr.satp)
455
456  val flush = io.sfence.valid || io.csr.satp.changed || io.csr.vsatp.changed || io.csr.hgatp.changed
457  val entries = Reg(Vec(l2tlbParams.llptwsize, new LLPTWEntry()))
458  val state_idle :: state_hptw_req :: state_hptw_resp :: state_addr_check :: state_mem_req :: state_mem_waiting :: state_mem_out :: state_last_hptw_req :: state_last_hptw_resp :: state_cache :: Nil = Enum(10)
459  val state = RegInit(VecInit(Seq.fill(l2tlbParams.llptwsize)(state_idle)))
460
461  val is_emptys = state.map(_ === state_idle)
462  val is_mems = state.map(_ === state_mem_req)
463  val is_waiting = state.map(_ === state_mem_waiting)
464  val is_having = state.map(_ === state_mem_out)
465  val is_cache = state.map(_ === state_cache)
466  val is_hptw_req = state.map(_ === state_hptw_req)
467  val is_last_hptw_req = state.map(_ === state_last_hptw_req)
468  val is_hptw_resp = state.map(_ === state_hptw_resp)
469  val is_last_hptw_resp = state.map(_ === state_last_hptw_resp)
470
471  val full = !ParallelOR(is_emptys).asBool
472  val enq_ptr = ParallelPriorityEncoder(is_emptys)
473
474  val mem_ptr = ParallelPriorityEncoder(is_having) // TODO: optimize timing, bad: entries -> ptr -> entry
475  val mem_arb = Module(new RRArbiterInit(new LLPTWEntry(), l2tlbParams.llptwsize))
476  for (i <- 0 until l2tlbParams.llptwsize) {
477    mem_arb.io.in(i).bits := entries(i)
478    mem_arb.io.in(i).valid := is_mems(i) && !io.mem.req_mask(i)
479  }
480
481  // process hptw requests in serial
482  val hyper_arb1 = Module(new RRArbiterInit(new LLPTWEntry(), l2tlbParams.llptwsize))
483  for (i <- 0 until l2tlbParams.llptwsize) {
484    hyper_arb1.io.in(i).bits := entries(i)
485    hyper_arb1.io.in(i).valid := is_hptw_req(i) && !(Cat(is_hptw_resp).orR) && !(Cat(is_last_hptw_resp).orR)
486  }
487  val hyper_arb2 = Module(new RRArbiterInit(new LLPTWEntry(), l2tlbParams.llptwsize))
488  for(i <- 0 until l2tlbParams.llptwsize) {
489    hyper_arb2.io.in(i).bits := entries(i)
490    hyper_arb2.io.in(i).valid := is_last_hptw_req(i) && !(Cat(is_hptw_resp).orR) && !(Cat(is_last_hptw_resp).orR)
491  }
492
493  val cache_ptr = ParallelMux(is_cache, (0 until l2tlbParams.llptwsize).map(_.U(log2Up(l2tlbParams.llptwsize).W)))
494
495  // duplicate req
496  // to_wait: wait for the last to access mem, set to mem_resp
497  // to_cache: the last is back just right now, set to mem_cache
498  val dup_vec = state.indices.map(i =>
499    dup(io.in.bits.req_info.vpn, entries(i).req_info.vpn) && io.in.bits.req_info.s2xlate === entries(i).req_info.s2xlate
500  )
501  val dup_req_fire = mem_arb.io.out.fire && dup(io.in.bits.req_info.vpn, mem_arb.io.out.bits.req_info.vpn) && io.in.bits.req_info.s2xlate === mem_arb.io.out.bits.req_info.s2xlate // dup with the req fire entry
502  val dup_vec_wait = dup_vec.zip(is_waiting).map{case (d, w) => d && w} // dup with "mem_waiting" entries, sending mem req already
503  val dup_vec_having = dup_vec.zipWithIndex.map{case (d, i) => d && is_having(i)} // dup with the "mem_out" entry recv the data just now
504  val dup_vec_last_hptw = dup_vec.zipWithIndex.map{case (d, i) => d && (is_last_hptw_req(i) || is_last_hptw_resp(i))}
505  val wait_id = Mux(dup_req_fire, mem_arb.io.chosen, ParallelMux(dup_vec_wait zip entries.map(_.wait_id)))
506  val dup_wait_resp = io.mem.resp.fire && VecInit(dup_vec_wait)(io.mem.resp.bits.id) // dup with the entry that data coming next cycle
507  val to_wait = Cat(dup_vec_wait).orR || dup_req_fire
508  val to_mem_out = dup_wait_resp && ((entries(io.mem.resp.bits.id).req_info.s2xlate === noS2xlate) || (entries(io.mem.resp.bits.id).req_info.s2xlate === onlyStage1))
509  val to_cache = Cat(dup_vec_having).orR || Cat(dup_vec_last_hptw).orR
510  val to_hptw_req = io.in.bits.req_info.s2xlate === allStage
511  val to_last_hptw_req = dup_wait_resp && entries(io.mem.resp.bits.id).req_info.s2xlate === allStage
512  val last_hptw_req_id = io.mem.resp.bits.id
513  val req_paddr = MakeAddr(io.in.bits.ppn(ppnLen-1, 0), getVpnn(io.in.bits.req_info.vpn, 0))
514  val req_hpaddr = MakeAddr(entries(last_hptw_req_id).hptw_resp.genPPNS2(get_pn(req_paddr)), getVpnn(io.in.bits.req_info.vpn, 0))
515  val index =  Mux(entries(last_hptw_req_id).req_info.s2xlate === allStage, req_hpaddr, req_paddr)(log2Up(l2tlbParams.blockBytes)-1, log2Up(XLEN/8))
516  val last_hptw_req_ppn = io.mem.resp.bits.value.asTypeOf(Vec(blockBits / XLEN, new PteBundle()))(index).getPPN()
517  XSError(RegNext(dup_req_fire && Cat(dup_vec_wait).orR, init = false.B), "mem req but some entries already waiting, should not happed")
518
519  XSError(io.in.fire && ((to_mem_out && to_cache) || (to_wait && to_cache)), "llptw enq, to cache conflict with to mem")
520  val mem_resp_hit = RegInit(VecInit(Seq.fill(l2tlbParams.llptwsize)(false.B)))
521  val enq_state_normal = MuxCase(state_addr_check, Seq(
522    to_mem_out -> state_mem_out, // same to the blew, but the mem resp now
523    to_last_hptw_req -> state_last_hptw_req,
524    to_wait -> state_mem_waiting,
525    to_cache -> state_cache,
526    to_hptw_req -> state_hptw_req
527  ))
528  val enq_state = Mux(from_pre(io.in.bits.req_info.source) && enq_state_normal =/= state_addr_check, state_idle, enq_state_normal)
529  when (io.in.fire) {
530    // if prefetch req does not need mem access, just give it up.
531    // so there will be at most 1 + FilterSize entries that needs re-access page cache
532    // so 2 + FilterSize is enough to avoid dead-lock
533    state(enq_ptr) := enq_state
534    entries(enq_ptr).req_info := io.in.bits.req_info
535    entries(enq_ptr).ppn := Mux(to_last_hptw_req, last_hptw_req_ppn, io.in.bits.ppn)
536    entries(enq_ptr).wait_id := Mux(to_wait, wait_id, enq_ptr)
537    entries(enq_ptr).af := false.B
538    entries(enq_ptr).hptw_resp := Mux(to_last_hptw_req, entries(last_hptw_req_id).hptw_resp, Mux(to_wait, entries(wait_id).hptw_resp, entries(enq_ptr).hptw_resp))
539    entries(enq_ptr).first_s2xlate_fault := false.B
540    mem_resp_hit(enq_ptr) := to_mem_out || to_last_hptw_req
541  }
542
543  val enq_ptr_reg = RegNext(enq_ptr)
544  val need_addr_check = GatedValidRegNext(enq_state === state_addr_check && io.in.fire && !flush)
545
546  val hasHptwResp = ParallelOR(state.map(_ === state_hptw_resp)).asBool
547  val hptw_resp_ptr_reg = RegNext(io.hptw.resp.bits.id)
548  val hptw_need_addr_check = RegNext(hasHptwResp && io.hptw.resp.fire && !flush) && state(hptw_resp_ptr_reg) === state_addr_check
549
550  val ptes = io.mem.resp.bits.value.asTypeOf(Vec(blockBits / XLEN, new PteBundle()))
551  val gpaddr = MakeGPAddr(entries(hptw_resp_ptr_reg).ppn, getVpnn(entries(hptw_resp_ptr_reg).req_info.vpn, 0))
552  val hptw_resp = entries(hptw_resp_ptr_reg).hptw_resp
553  val hpaddr = Cat(hptw_resp.genPPNS2(get_pn(gpaddr)), get_off(gpaddr))
554  val addr = RegEnable(MakeAddr(io.in.bits.ppn(ppnLen - 1, 0), getVpnn(io.in.bits.req_info.vpn, 0)), io.in.fire)
555  io.pmp.req.valid := need_addr_check || hptw_need_addr_check
556  io.pmp.req.bits.addr := Mux(hptw_need_addr_check, hpaddr, addr)
557  io.pmp.req.bits.cmd := TlbCmd.read
558  io.pmp.req.bits.size := 3.U // TODO: fix it
559  val pmp_resp_valid = io.pmp.req.valid // same cycle
560  when (pmp_resp_valid) {
561    // NOTE: when pmp resp but state is not addr check, then the entry is dup with other entry, the state was changed before
562    //       when dup with the req-ing entry, set to mem_waiting (above codes), and the ld must be false, so dontcare
563    val ptr = Mux(hptw_need_addr_check, hptw_resp_ptr_reg, enq_ptr_reg);
564    val accessFault = io.pmp.resp.ld || io.pmp.resp.mmio
565    entries(ptr).af := accessFault
566    state(ptr) := Mux(accessFault, state_mem_out, state_mem_req)
567  }
568
569  when (mem_arb.io.out.fire) {
570    for (i <- state.indices) {
571      when (state(i) =/= state_idle && state(i) =/= state_mem_out && state(i) =/= state_last_hptw_req && state(i) =/= state_last_hptw_resp
572      && entries(i).req_info.s2xlate === mem_arb.io.out.bits.req_info.s2xlate
573      && dup(entries(i).req_info.vpn, mem_arb.io.out.bits.req_info.vpn)) {
574        // NOTE: "dup enq set state to mem_wait" -> "sending req set other dup entries to mem_wait"
575        state(i) := state_mem_waiting
576        entries(i).hptw_resp := entries(mem_arb.io.chosen).hptw_resp
577        entries(i).wait_id := mem_arb.io.chosen
578      }
579    }
580  }
581  when (io.mem.resp.fire) {
582    state.indices.map{i =>
583      when (state(i) === state_mem_waiting && io.mem.resp.bits.id === entries(i).wait_id) {
584        val req_paddr = MakeAddr(entries(i).ppn, getVpnn(entries(i).req_info.vpn, 0))
585        val req_hpaddr = MakeAddr(entries(i).hptw_resp.genPPNS2(get_pn(req_paddr)), getVpnn(entries(i).req_info.vpn, 0))
586        val index =  Mux(entries(i).req_info.s2xlate === allStage, req_hpaddr, req_paddr)(log2Up(l2tlbParams.blockBytes)-1, log2Up(XLEN/8))
587        state(i) := Mux(entries(i).req_info.s2xlate === allStage && !(ptes(index).isPf(2.U) || !ptes(index).isLeaf() || ptes(index).isAf()), state_last_hptw_req, state_mem_out)
588        mem_resp_hit(i) := true.B
589        entries(i).ppn := ptes(index).getPPN() // for last stage 2 translation
590      }
591    }
592  }
593
594  when (hyper_arb1.io.out.fire) {
595    for (i <- state.indices) {
596      when (state(i) === state_hptw_req && entries(i).ppn === hyper_arb1.io.out.bits.ppn && entries(i).req_info.s2xlate === allStage && hyper_arb1.io.chosen === i.U) {
597        state(i) := state_hptw_resp
598        entries(i).wait_id := hyper_arb1.io.chosen
599      }
600    }
601  }
602
603  when (hyper_arb2.io.out.fire) {
604    for (i <- state.indices) {
605      when (state(i) === state_last_hptw_req && entries(i).ppn === hyper_arb2.io.out.bits.ppn && entries(i).req_info.s2xlate === allStage && hyper_arb2.io.chosen === i.U) {
606        state(i) := state_last_hptw_resp
607        entries(i).wait_id := hyper_arb2.io.chosen
608      }
609    }
610  }
611
612  when (io.hptw.resp.fire) {
613    for (i <- state.indices) {
614      when (state(i) === state_hptw_resp && io.hptw.resp.bits.id === entries(i).wait_id && io.hptw.resp.bits.h_resp.entry.tag === entries(i).ppn) {
615        when (io.hptw.resp.bits.h_resp.gaf || io.hptw.resp.bits.h_resp.gpf) {
616          state(i) := state_mem_out
617          entries(i).hptw_resp := io.hptw.resp.bits.h_resp
618          entries(i).first_s2xlate_fault := io.hptw.resp.bits.h_resp.gaf || io.hptw.resp.bits.h_resp.gpf
619        }.otherwise{ // change the entry that is waiting hptw resp
620          val need_to_waiting_vec = state.indices.map(i => state(i) === state_mem_waiting && dup(entries(i).req_info.vpn, entries(io.hptw.resp.bits.id).req_info.vpn))
621          val waiting_index = ParallelMux(need_to_waiting_vec zip entries.map(_.wait_id))
622          state(i) := Mux(Cat(need_to_waiting_vec).orR, state_mem_waiting, state_addr_check)
623          entries(i).hptw_resp := io.hptw.resp.bits.h_resp
624          entries(i).wait_id := Mux(Cat(need_to_waiting_vec).orR, waiting_index, entries(i).wait_id)
625          //To do: change the entry that is having the same hptw req
626        }
627      }
628      when (state(i) === state_last_hptw_resp && io.hptw.resp.bits.id === entries(i).wait_id && io.hptw.resp.bits.h_resp.entry.tag === entries(i).ppn) {
629        state(i) := state_mem_out
630        entries(i).hptw_resp := io.hptw.resp.bits.h_resp
631        //To do: change the entry that is having the same hptw req
632      }
633    }
634  }
635  when (io.out.fire) {
636    assert(state(mem_ptr) === state_mem_out)
637    state(mem_ptr) := state_idle
638  }
639  mem_resp_hit.map(a => when (a) { a := false.B } )
640
641  when (io.cache.fire) {
642    state(cache_ptr) := state_idle
643  }
644  XSError(io.out.fire && io.cache.fire && (mem_ptr === cache_ptr), "mem resp and cache fire at the same time at same entry")
645
646  when (flush) {
647    state.map(_ := state_idle)
648  }
649
650  io.in.ready := !full
651
652  io.out.valid := ParallelOR(is_having).asBool
653  io.out.bits.req_info := entries(mem_ptr).req_info
654  io.out.bits.id := mem_ptr
655  io.out.bits.af := entries(mem_ptr).af
656  io.out.bits.h_resp := entries(mem_ptr).hptw_resp
657  io.out.bits.first_s2xlate_fault := entries(mem_ptr).first_s2xlate_fault
658
659  val hptw_req_arb = Module(new Arbiter(new Bundle{
660      val source = UInt(bSourceWidth.W)
661      val id = UInt(log2Up(l2tlbParams.llptwsize).W)
662      val ppn = UInt(gvpnLen.W)
663    } , 2))
664  // first stage 2 translation
665  hptw_req_arb.io.in(0).valid := hyper_arb1.io.out.valid
666  hptw_req_arb.io.in(0).bits.source := hyper_arb1.io.out.bits.req_info.source
667  hptw_req_arb.io.in(0).bits.ppn := hyper_arb1.io.out.bits.ppn
668  hptw_req_arb.io.in(0).bits.id := hyper_arb1.io.chosen
669  hyper_arb1.io.out.ready := hptw_req_arb.io.in(0).ready
670  // last stage 2 translation
671  hptw_req_arb.io.in(1).valid := hyper_arb2.io.out.valid
672  hptw_req_arb.io.in(1).bits.source := hyper_arb2.io.out.bits.req_info.source
673  hptw_req_arb.io.in(1).bits.ppn := hyper_arb2.io.out.bits.ppn
674  hptw_req_arb.io.in(1).bits.id := hyper_arb2.io.chosen
675  hyper_arb2.io.out.ready := hptw_req_arb.io.in(1).ready
676  hptw_req_arb.io.out.ready := io.hptw.req.ready
677  io.hptw.req.valid := hptw_req_arb.io.out.fire && !flush
678  io.hptw.req.bits.gvpn := hptw_req_arb.io.out.bits.ppn
679  io.hptw.req.bits.id := hptw_req_arb.io.out.bits.id
680  io.hptw.req.bits.source := hptw_req_arb.io.out.bits.source
681
682  io.mem.req.valid := mem_arb.io.out.valid && !flush
683  val mem_paddr = MakeAddr(mem_arb.io.out.bits.ppn, getVpnn(mem_arb.io.out.bits.req_info.vpn, 0))
684  val mem_hpaddr = MakeAddr(mem_arb.io.out.bits.hptw_resp.genPPNS2(get_pn(mem_paddr)), getVpnn(mem_arb.io.out.bits.req_info.vpn, 0))
685  io.mem.req.bits.addr := Mux(mem_arb.io.out.bits.req_info.s2xlate === allStage, mem_hpaddr, mem_paddr)
686  io.mem.req.bits.id := mem_arb.io.chosen
687  io.mem.req.bits.hptw_bypassed := false.B
688  mem_arb.io.out.ready := io.mem.req.ready
689  val mem_refill_id = RegNext(io.mem.resp.bits.id(log2Up(l2tlbParams.llptwsize)-1, 0))
690  io.mem.refill := entries(mem_refill_id).req_info
691  io.mem.refill.s2xlate := Mux(entries(mem_refill_id).req_info.s2xlate === noS2xlate, noS2xlate, onlyStage1) // llptw refill the pte of stage 1
692  io.mem.buffer_it := mem_resp_hit
693  io.mem.enq_ptr := enq_ptr
694
695  io.cache.valid := Cat(is_cache).orR
696  io.cache.bits := ParallelMux(is_cache, entries.map(_.req_info))
697
698  XSPerfAccumulate("llptw_in_count", io.in.fire)
699  XSPerfAccumulate("llptw_in_block", io.in.valid && !io.in.ready)
700  for (i <- 0 until 7) {
701    XSPerfAccumulate(s"enq_state${i}", io.in.fire && enq_state === i.U)
702  }
703  for (i <- 0 until (l2tlbParams.llptwsize + 1)) {
704    XSPerfAccumulate(s"util${i}", PopCount(is_emptys.map(!_)) === i.U)
705    XSPerfAccumulate(s"mem_util${i}", PopCount(is_mems) === i.U)
706    XSPerfAccumulate(s"waiting_util${i}", PopCount(is_waiting) === i.U)
707  }
708  XSPerfAccumulate("mem_count", io.mem.req.fire)
709  XSPerfAccumulate("mem_cycle", PopCount(is_waiting) =/= 0.U)
710  XSPerfAccumulate("blocked_in", io.in.valid && !io.in.ready)
711
712  for (i <- 0 until l2tlbParams.llptwsize) {
713    TimeOutAssert(state(i) =/= state_idle, timeOutThreshold, s"missqueue time out no out ${i}")
714  }
715
716  val perfEvents = Seq(
717    ("tlbllptw_incount           ", io.in.fire               ),
718    ("tlbllptw_inblock           ", io.in.valid && !io.in.ready),
719    ("tlbllptw_memcount          ", io.mem.req.fire          ),
720    ("tlbllptw_memcycle          ", PopCount(is_waiting)       ),
721  )
722  generatePerfEvent()
723}
724
725/*========================= HPTW ==============================*/
726
727/** HPTW : Hypervisor Page Table Walker
728  * the page walker take the virtual machine's page walk.
729  * guest physical address translation, guest physical address -> host physical address
730  **/
731class HPTWIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
732  val req = Flipped(DecoupledIO(new Bundle {
733    val source = UInt(bSourceWidth.W)
734    val id = UInt(log2Up(l2tlbParams.llptwsize).W)
735    val gvpn = UInt(vpnLen.W)
736    val ppn = UInt(ppnLen.W)
737    val l1Hit = Bool()
738    val l2Hit = Bool()
739    val bypassed = Bool() // if bypass, don't refill
740  }))
741  val resp = DecoupledIO(new Bundle {
742    val source = UInt(bSourceWidth.W)
743    val resp = Output(new HptwResp())
744    val id = Output(UInt(bMemID.W))
745  })
746
747  val mem = new Bundle {
748    val req = DecoupledIO(new L2TlbMemReqBundle())
749    val resp = Flipped(ValidIO(UInt(XLEN.W)))
750    val mask = Input(Bool())
751  }
752  val refill = Output(new Bundle {
753    val req_info = new L2TlbInnerBundle()
754    val level = UInt(log2Up(Level).W)
755  })
756  val pmp = new Bundle {
757    val req = ValidIO(new PMPReqBundle())
758    val resp = Flipped(new PMPRespBundle())
759  }
760}
761
762class HPTW()(implicit p: Parameters) extends XSModule with HasPtwConst {
763  val io = IO(new HPTWIO)
764  val hgatp = io.csr.hgatp
765  val sfence = io.sfence
766  val flush = sfence.valid || hgatp.changed || io.csr.satp.changed || io.csr.vsatp.changed
767
768  val level = RegInit(0.U(log2Up(Level).W))
769  val gpaddr = Reg(UInt(GPAddrBits.W))
770  val req_ppn = Reg(UInt(ppnLen.W))
771  val vpn = gpaddr(GPAddrBits-1, offLen)
772  val levelNext = level + 1.U
773  val l1Hit = Reg(Bool())
774  val l2Hit = Reg(Bool())
775  val bypassed = Reg(Bool())
776  val pg_base = MakeGPAddr(hgatp.ppn, getGVpnn(vpn, 2.U)) // for l0
777//  val pte = io.mem.resp.bits.MergeRespToPte()
778  val pte = io.mem.resp.bits.asTypeOf(new PteBundle().cloneType)
779  val ppn_l1 = Mux(l1Hit, req_ppn, pte.ppn)
780  val ppn_l2 = Mux(l2Hit, req_ppn, pte.ppn)
781  val ppn = Mux(level === 1.U, ppn_l1, ppn_l2) //for l1 and l2
782  val p_pte = MakeAddr(ppn, getVpnn(vpn, 2.U - level))
783  val mem_addr = Mux(level === 0.U, pg_base, p_pte)
784
785  //s/w register
786  val s_pmp_check = RegInit(true.B)
787  val s_mem_req = RegInit(true.B)
788  val w_mem_resp = RegInit(true.B)
789  val idle = RegInit(true.B)
790  val mem_addr_update = RegInit(false.B)
791  val finish = WireInit(false.B)
792
793  val sent_to_pmp = !idle && (!s_pmp_check || mem_addr_update) && !finish
794  val pageFault = pte.isPf(level) || (!pte.isLeaf() && level >= 2.U)
795  val accessFault = RegEnable(io.pmp.resp.ld || io.pmp.resp.mmio, sent_to_pmp)
796
797  val ppn_af = pte.isAf()
798  val find_pte = pte.isLeaf() || ppn_af || pageFault
799
800  val resp_valid = !idle && mem_addr_update && ((w_mem_resp && find_pte) || (s_pmp_check && accessFault))
801  val id = Reg(UInt(log2Up(l2tlbParams.llptwsize).W))
802  val source = RegEnable(io.req.bits.source, io.req.fire)
803
804  io.req.ready := idle
805  val resp = Wire(new HptwResp())
806  resp.apply(pageFault && !accessFault && !ppn_af, accessFault || ppn_af, level, pte, vpn, hgatp.asid)
807  io.resp.valid := resp_valid
808  io.resp.bits.id := id
809  io.resp.bits.resp := resp
810  io.resp.bits.source := source
811
812  io.pmp.req.valid := DontCare
813  io.pmp.req.bits.addr := mem_addr
814  io.pmp.req.bits.size := 3.U
815  io.pmp.req.bits.cmd := TlbCmd.read
816
817  io.mem.req.valid := !s_mem_req && !io.mem.mask && !accessFault && s_pmp_check
818  io.mem.req.bits.addr := mem_addr
819  io.mem.req.bits.id := HptwReqId.U(bMemID.W)
820  io.mem.req.bits.hptw_bypassed := bypassed
821
822  io.refill.req_info.vpn := vpn
823  io.refill.level := level
824  io.refill.req_info.source := source
825  io.refill.req_info.s2xlate := onlyStage2
826  when (idle){
827    when(io.req.fire){
828      bypassed := io.req.bits.bypassed
829      level := Mux(io.req.bits.l2Hit, 2.U, Mux(io.req.bits.l1Hit, 1.U, 0.U))
830      idle := false.B
831      gpaddr := Cat(io.req.bits.gvpn, 0.U(offLen.W))
832      accessFault := false.B
833      s_pmp_check := false.B
834      id := io.req.bits.id
835      req_ppn := io.req.bits.ppn
836      l1Hit := io.req.bits.l1Hit
837      l2Hit := io.req.bits.l2Hit
838    }
839  }
840
841  when(sent_to_pmp && !mem_addr_update){
842    s_mem_req := false.B
843    s_pmp_check := true.B
844  }
845
846  when(accessFault && !idle){
847    s_pmp_check := true.B
848    s_mem_req := true.B
849    w_mem_resp := true.B
850    mem_addr_update := true.B
851  }
852
853  when(io.mem.req.fire){
854    s_mem_req := true.B
855    w_mem_resp := false.B
856  }
857
858  when(io.mem.resp.fire && !w_mem_resp){
859    w_mem_resp := true.B
860    mem_addr_update := true.B
861  }
862
863  when(mem_addr_update){
864    when(!(find_pte || accessFault)){
865      level := levelNext
866      s_mem_req := false.B
867      mem_addr_update := false.B
868    }.elsewhen(resp_valid){
869      when(io.resp.fire){
870        idle := true.B
871        mem_addr_update := false.B
872        accessFault := false.B
873      }
874      finish := true.B
875    }
876  }
877   when (flush) {
878    idle := true.B
879    s_pmp_check := true.B
880    s_mem_req := true.B
881    w_mem_resp := true.B
882    accessFault := false.B
883    mem_addr_update := false.B
884  }
885}
886