xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/PageTableWalker.scala (revision 935edac446654a1880ac0112b2380315b5368504)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache.mmu
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import xiangshan._
23import xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
24import utils._
25import utility._
26import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
27import freechips.rocketchip.tilelink._
28import xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle}
29
30/** Page Table Walk is divided into two parts
31  * One,   PTW: page walk for pde, except for leaf entries, one by one
32  * Two, LLPTW: page walk for pte, only the leaf entries(4KB), in parallel
33  */
34
35
36/** PTW : page table walker
37  * a finite state machine
38  * only take 1GB and 2MB page walks
39  * or in other words, except the last level(leaf)
40  **/
41class PTWIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
42  val req = Flipped(DecoupledIO(new Bundle {
43    val req_info = new L2TlbInnerBundle()
44    val l1Hit = Bool()
45    val ppn = UInt(ppnLen.W)
46  }))
47  val resp = DecoupledIO(new Bundle {
48    val source = UInt(bSourceWidth.W)
49    val resp = new PtwMergeResp
50  })
51
52  val llptw = DecoupledIO(new LLPTWInBundle())
53  // NOTE: llptw change from "connect to llptw" to "connect to page cache"
54  // to avoid corner case that caused duplicate entries
55
56  val mem = new Bundle {
57    val req = DecoupledIO(new L2TlbMemReqBundle())
58    val resp = Flipped(ValidIO(UInt(XLEN.W)))
59    val mask = Input(Bool())
60  }
61  val pmp = new Bundle {
62    val req = ValidIO(new PMPReqBundle())
63    val resp = Flipped(new PMPRespBundle())
64  }
65
66  val refill = Output(new Bundle {
67    val req_info = new L2TlbInnerBundle()
68    val level = UInt(log2Up(Level).W)
69  })
70}
71
72class PTW()(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents {
73  val io = IO(new PTWIO)
74  val sfence = io.sfence
75  val mem = io.mem
76  val satp = io.csr.satp
77  val flush = io.sfence.valid || io.csr.satp.changed
78
79  val level = RegInit(0.U(log2Up(Level).W))
80  val af_level = RegInit(0.U(log2Up(Level).W)) // access fault return this level
81  val ppn = Reg(UInt(ppnLen.W))
82  val vpn = Reg(UInt(vpnLen.W))
83  val levelNext = level + 1.U
84  val l1Hit = Reg(Bool())
85  val memPte = mem.resp.bits.asTypeOf(new PteBundle().cloneType)
86
87  // s/w register
88  val s_pmp_check = RegInit(true.B)
89  val s_mem_req = RegInit(true.B)
90  val s_llptw_req = RegInit(true.B)
91  val w_mem_resp = RegInit(true.B)
92  // for updating "level"
93  val mem_addr_update = RegInit(false.B)
94
95  val idle = RegInit(true.B)
96  val finish = WireInit(false.B)
97  val sent_to_pmp = idle === false.B && (s_pmp_check === false.B || mem_addr_update) && !finish
98
99  val pageFault = memPte.isPf(level)
100  val accessFault = RegEnable(io.pmp.resp.ld || io.pmp.resp.mmio, sent_to_pmp)
101
102  val ppn_af = memPte.isAf()
103  val find_pte = memPte.isLeaf() || ppn_af || pageFault
104  val to_find_pte = level === 1.U && find_pte === false.B
105  val source = RegEnable(io.req.bits.req_info.source, io.req.fire)
106
107  val l1addr = MakeAddr(satp.ppn, getVpnn(vpn, 2))
108  val l2addr = MakeAddr(Mux(l1Hit, ppn, memPte.ppn), getVpnn(vpn, 1))
109  val mem_addr = Mux(af_level === 0.U, l1addr, l2addr)
110
111  io.req.ready := idle
112
113  io.resp.valid := idle === false.B && mem_addr_update && ((w_mem_resp && find_pte) || (s_pmp_check && accessFault))
114  io.resp.bits.source := source
115  io.resp.bits.resp.apply(pageFault && !accessFault && !ppn_af, accessFault || ppn_af, Mux(accessFault, af_level,level), memPte, vpn, satp.asid, vpn(sectortlbwidth - 1, 0), not_super = false)
116
117  io.llptw.valid := s_llptw_req === false.B && to_find_pte && !accessFault
118  io.llptw.bits.req_info.source := source
119  io.llptw.bits.req_info.vpn := vpn
120  io.llptw.bits.ppn := memPte.ppn
121
122  io.pmp.req.valid := DontCare // samecycle, do not use valid
123  io.pmp.req.bits.addr := mem_addr
124  io.pmp.req.bits.size := 3.U // TODO: fix it
125  io.pmp.req.bits.cmd := TlbCmd.read
126
127  mem.req.valid := s_mem_req === false.B && !mem.mask && !accessFault && s_pmp_check
128  mem.req.bits.addr := mem_addr
129  mem.req.bits.id := FsmReqID.U(bMemID.W)
130
131  io.refill.req_info.vpn := vpn
132  io.refill.level := level
133  io.refill.req_info.source := source
134
135  when (io.req.fire){
136    val req = io.req.bits
137    level := Mux(req.l1Hit, 1.U, 0.U)
138    af_level := Mux(req.l1Hit, 1.U, 0.U)
139    ppn := Mux(req.l1Hit, io.req.bits.ppn, satp.ppn)
140    vpn := io.req.bits.req_info.vpn
141    l1Hit := req.l1Hit
142    accessFault := false.B
143    s_pmp_check := false.B
144    idle := false.B
145  }
146
147  when(sent_to_pmp && mem_addr_update === false.B){
148    s_mem_req := false.B
149    s_pmp_check := true.B
150  }
151
152  when(accessFault && idle === false.B){
153    s_pmp_check := true.B
154    s_mem_req := true.B
155    w_mem_resp := true.B
156    s_llptw_req := true.B
157    mem_addr_update := true.B
158  }
159
160  when (mem.req.fire){
161    s_mem_req := true.B
162    w_mem_resp := false.B
163  }
164
165  when(mem.resp.fire && w_mem_resp === false.B){
166    w_mem_resp := true.B
167    af_level := af_level + 1.U
168    s_llptw_req := false.B
169    mem_addr_update := true.B
170  }
171
172  when(mem_addr_update){
173    when(level === 0.U && !(find_pte || accessFault)){
174      level := levelNext
175      s_mem_req := false.B
176      s_llptw_req := true.B
177      mem_addr_update := false.B
178    }.elsewhen(io.llptw.valid){
179      when(io.llptw.fire) {
180        idle := true.B
181        s_llptw_req := true.B
182        mem_addr_update := false.B
183      }
184      finish := true.B
185    }.elsewhen(io.resp.valid){
186      when(io.resp.fire) {
187        idle := true.B
188        s_llptw_req := true.B
189        mem_addr_update := false.B
190        accessFault := false.B
191      }
192      finish := true.B
193    }
194  }
195
196
197  when (sfence.valid) {
198    idle := true.B
199    s_pmp_check := true.B
200    s_mem_req := true.B
201    s_llptw_req := true.B
202    w_mem_resp := true.B
203    accessFault := false.B
204    mem_addr_update := false.B
205  }
206
207
208  XSDebug(p"[ptw] level:${level} notFound:${pageFault}\n")
209
210  // perf
211  XSPerfAccumulate("fsm_count", io.req.fire)
212  for (i <- 0 until PtwWidth) {
213    XSPerfAccumulate(s"fsm_count_source${i}", io.req.fire && io.req.bits.req_info.source === i.U)
214  }
215  XSPerfAccumulate("fsm_busy", !idle)
216  XSPerfAccumulate("fsm_idle", idle)
217  XSPerfAccumulate("resp_blocked", io.resp.valid && !io.resp.ready)
218  XSPerfAccumulate("ptw_ppn_af", io.resp.fire && ppn_af)
219  XSPerfAccumulate("mem_count", mem.req.fire)
220  XSPerfAccumulate("mem_cycle", BoolStopWatch(mem.req.fire, mem.resp.fire, true))
221  XSPerfAccumulate("mem_blocked", mem.req.valid && !mem.req.ready)
222
223  TimeOutAssert(!idle, timeOutThreshold, "page table walker time out")
224
225  val perfEvents = Seq(
226    ("fsm_count         ", io.req.fire                                     ),
227    ("fsm_busy          ", !idle                                             ),
228    ("fsm_idle          ", idle                                              ),
229    ("resp_blocked      ", io.resp.valid && !io.resp.ready                   ),
230    ("mem_count         ", mem.req.fire                                    ),
231    ("mem_cycle         ", BoolStopWatch(mem.req.fire, mem.resp.fire, true)),
232    ("mem_blocked       ", mem.req.valid && !mem.req.ready                   ),
233  )
234  generatePerfEvent()
235}
236
237/*========================= LLPTW ==============================*/
238
239/** LLPTW : Last Level Page Table Walker
240  * the page walker that only takes 4KB(last level) page walk.
241  **/
242
243class LLPTWInBundle(implicit p: Parameters) extends XSBundle with HasPtwConst {
244  val req_info = Output(new L2TlbInnerBundle())
245  val ppn = Output(UInt(PAddrBits.W))
246}
247
248class LLPTWIO(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst {
249  val in = Flipped(DecoupledIO(new LLPTWInBundle()))
250  val out = DecoupledIO(new Bundle {
251    val req_info = Output(new L2TlbInnerBundle())
252    val id = Output(UInt(bMemID.W))
253    val af = Output(Bool())
254  })
255  val mem = new Bundle {
256    val req = DecoupledIO(new L2TlbMemReqBundle())
257    val resp = Flipped(Valid(new Bundle {
258      val id = Output(UInt(log2Up(l2tlbParams.llptwsize).W))
259    }))
260    val enq_ptr = Output(UInt(log2Ceil(l2tlbParams.llptwsize).W))
261    val buffer_it = Output(Vec(l2tlbParams.llptwsize, Bool()))
262    val refill = Output(new L2TlbInnerBundle())
263    val req_mask = Input(Vec(l2tlbParams.llptwsize, Bool()))
264  }
265  val cache = DecoupledIO(new L2TlbInnerBundle())
266  val pmp = new Bundle {
267    val req = Valid(new PMPReqBundle())
268    val resp = Flipped(new PMPRespBundle())
269  }
270}
271
272class LLPTWEntry(implicit p: Parameters) extends XSBundle with HasPtwConst {
273  val req_info = new L2TlbInnerBundle()
274  val ppn = UInt(ppnLen.W)
275  val wait_id = UInt(log2Up(l2tlbParams.llptwsize).W)
276  val af = Bool()
277}
278
279
280class LLPTW(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents {
281  val io = IO(new LLPTWIO())
282
283  val flush = io.sfence.valid || io.csr.satp.changed
284  val entries = Reg(Vec(l2tlbParams.llptwsize, new LLPTWEntry()))
285  val state_idle :: state_addr_check :: state_mem_req :: state_mem_waiting :: state_mem_out :: state_cache :: Nil = Enum(6)
286  val state = RegInit(VecInit(Seq.fill(l2tlbParams.llptwsize)(state_idle)))
287
288  val is_emptys = state.map(_ === state_idle)
289  val is_mems = state.map(_ === state_mem_req)
290  val is_waiting = state.map(_ === state_mem_waiting)
291  val is_having = state.map(_ === state_mem_out)
292  val is_cache = state.map(_ === state_cache)
293
294  val full = !ParallelOR(is_emptys).asBool
295  val enq_ptr = ParallelPriorityEncoder(is_emptys)
296
297  val mem_ptr = ParallelPriorityEncoder(is_having) // TODO: optimize timing, bad: entries -> ptr -> entry
298  val mem_arb = Module(new RRArbiter(new LLPTWEntry(), l2tlbParams.llptwsize))
299  for (i <- 0 until l2tlbParams.llptwsize) {
300    mem_arb.io.in(i).bits := entries(i)
301    mem_arb.io.in(i).valid := is_mems(i) && !io.mem.req_mask(i)
302  }
303
304  val cache_ptr = ParallelMux(is_cache, (0 until l2tlbParams.llptwsize).map(_.U(log2Up(l2tlbParams.llptwsize).W)))
305
306  // duplicate req
307  // to_wait: wait for the last to access mem, set to mem_resp
308  // to_cache: the last is back just right now, set to mem_cache
309  val dup_vec = state.indices.map(i =>
310    dup(io.in.bits.req_info.vpn, entries(i).req_info.vpn)
311  )
312  val dup_req_fire = mem_arb.io.out.fire && dup(io.in.bits.req_info.vpn, mem_arb.io.out.bits.req_info.vpn) // dup with the req fire entry
313  val dup_vec_wait = dup_vec.zip(is_waiting).map{case (d, w) => d && w} // dup with "mem_waiting" entres, sending mem req already
314  val dup_vec_having = dup_vec.zipWithIndex.map{case (d, i) => d && is_having(i)} // dup with the "mem_out" entry recv the data just now
315  val wait_id = Mux(dup_req_fire, mem_arb.io.chosen, ParallelMux(dup_vec_wait zip entries.map(_.wait_id)))
316  val dup_wait_resp = io.mem.resp.fire && VecInit(dup_vec_wait)(io.mem.resp.bits.id) // dup with the entry that data coming next cycle
317  val to_wait = Cat(dup_vec_wait).orR || dup_req_fire
318  val to_mem_out = dup_wait_resp
319  val to_cache = Cat(dup_vec_having).orR
320  XSError(RegNext(dup_req_fire && Cat(dup_vec_wait).orR, init = false.B), "mem req but some entries already waiting, should not happed")
321
322  XSError(io.in.fire && ((to_mem_out && to_cache) || (to_wait && to_cache)), "llptw enq, to cache conflict with to mem")
323  val mem_resp_hit = RegInit(VecInit(Seq.fill(l2tlbParams.llptwsize)(false.B)))
324  val enq_state_normal = Mux(to_mem_out, state_mem_out, // same to the blew, but the mem resp now
325    Mux(to_wait, state_mem_waiting,
326    Mux(to_cache, state_cache, state_addr_check)))
327  val enq_state = Mux(from_pre(io.in.bits.req_info.source) && enq_state_normal =/= state_addr_check, state_idle, enq_state_normal)
328  when (io.in.fire) {
329    // if prefetch req does not need mem access, just give it up.
330    // so there will be at most 1 + FilterSize entries that needs re-access page cache
331    // so 2 + FilterSize is enough to avoid dead-lock
332    state(enq_ptr) := enq_state
333    entries(enq_ptr).req_info := io.in.bits.req_info
334    entries(enq_ptr).ppn := io.in.bits.ppn
335    entries(enq_ptr).wait_id := Mux(to_wait, wait_id, enq_ptr)
336    entries(enq_ptr).af := false.B
337    mem_resp_hit(enq_ptr) := to_mem_out
338  }
339
340  val enq_ptr_reg = RegNext(enq_ptr)
341  val need_addr_check = RegNext(enq_state === state_addr_check && io.in.fire && !flush)
342  val last_enq_vpn = RegEnable(io.in.bits.req_info.vpn, io.in.fire)
343
344  io.pmp.req.valid := need_addr_check
345  io.pmp.req.bits.addr := RegEnable(MakeAddr(io.in.bits.ppn, getVpnn(io.in.bits.req_info.vpn, 0)), io.in.fire)
346  io.pmp.req.bits.cmd := TlbCmd.read
347  io.pmp.req.bits.size := 3.U // TODO: fix it
348  val pmp_resp_valid = io.pmp.req.valid // same cycle
349  when (pmp_resp_valid) {
350    // NOTE: when pmp resp but state is not addr check, then the entry is dup with other entry, the state was changed before
351    //       when dup with the req-ing entry, set to mem_waiting (above codes), and the ld must be false, so dontcare
352    val accessFault = io.pmp.resp.ld || io.pmp.resp.mmio
353    entries(enq_ptr_reg).af := accessFault
354    state(enq_ptr_reg) := Mux(accessFault, state_mem_out, state_mem_req)
355  }
356
357  when (mem_arb.io.out.fire) {
358    for (i <- state.indices) {
359      when (state(i) =/= state_idle && dup(entries(i).req_info.vpn, mem_arb.io.out.bits.req_info.vpn)) {
360        // NOTE: "dup enq set state to mem_wait" -> "sending req set other dup entries to mem_wait"
361        state(i) := state_mem_waiting
362        entries(i).wait_id := mem_arb.io.chosen
363      }
364    }
365  }
366  when (io.mem.resp.fire) {
367    state.indices.map{i =>
368      when (state(i) === state_mem_waiting && io.mem.resp.bits.id === entries(i).wait_id) {
369        state(i) := state_mem_out
370        mem_resp_hit(i) := true.B
371      }
372    }
373  }
374  when (io.out.fire) {
375    assert(state(mem_ptr) === state_mem_out)
376    state(mem_ptr) := state_idle
377  }
378  mem_resp_hit.map(a => when (a) { a := false.B } )
379
380  when (io.cache.fire) {
381    state(cache_ptr) := state_idle
382  }
383  XSError(io.out.fire && io.cache.fire && (mem_ptr === cache_ptr), "mem resp and cache fire at the same time at same entry")
384
385  when (flush) {
386    state.map(_ := state_idle)
387  }
388
389  io.in.ready := !full
390
391  io.out.valid := ParallelOR(is_having).asBool
392  io.out.bits.req_info := entries(mem_ptr).req_info
393  io.out.bits.id := mem_ptr
394  io.out.bits.af := entries(mem_ptr).af
395
396  io.mem.req.valid := mem_arb.io.out.valid && !flush
397  io.mem.req.bits.addr := MakeAddr(mem_arb.io.out.bits.ppn, getVpnn(mem_arb.io.out.bits.req_info.vpn, 0))
398  io.mem.req.bits.id := mem_arb.io.chosen
399  mem_arb.io.out.ready := io.mem.req.ready
400  io.mem.refill := entries(RegNext(io.mem.resp.bits.id(log2Up(l2tlbParams.llptwsize)-1, 0))).req_info
401  io.mem.buffer_it := mem_resp_hit
402  io.mem.enq_ptr := enq_ptr
403
404  io.cache.valid := Cat(is_cache).orR
405  io.cache.bits := ParallelMux(is_cache, entries.map(_.req_info))
406
407  XSPerfAccumulate("llptw_in_count", io.in.fire)
408  XSPerfAccumulate("llptw_in_block", io.in.valid && !io.in.ready)
409  for (i <- 0 until 7) {
410    XSPerfAccumulate(s"enq_state${i}", io.in.fire && enq_state === i.U)
411  }
412  for (i <- 0 until (l2tlbParams.llptwsize + 1)) {
413    XSPerfAccumulate(s"util${i}", PopCount(is_emptys.map(!_)) === i.U)
414    XSPerfAccumulate(s"mem_util${i}", PopCount(is_mems) === i.U)
415    XSPerfAccumulate(s"waiting_util${i}", PopCount(is_waiting) === i.U)
416  }
417  XSPerfAccumulate("mem_count", io.mem.req.fire)
418  XSPerfAccumulate("mem_cycle", PopCount(is_waiting) =/= 0.U)
419  XSPerfAccumulate("blocked_in", io.in.valid && !io.in.ready)
420
421  for (i <- 0 until l2tlbParams.llptwsize) {
422    TimeOutAssert(state(i) =/= state_idle, timeOutThreshold, s"missqueue time out no out ${i}")
423  }
424
425  val perfEvents = Seq(
426    ("tlbllptw_incount           ", io.in.fire               ),
427    ("tlbllptw_inblock           ", io.in.valid && !io.in.ready),
428    ("tlbllptw_memcount          ", io.mem.req.fire          ),
429    ("tlbllptw_memcycle          ", PopCount(is_waiting)       ),
430  )
431  generatePerfEvent()
432}
433