1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.cache.mmu 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import chisel3.internal.naming.chiselName 23import xiangshan._ 24import xiangshan.cache.{HasDCacheParameters, MemoryOpConstants} 25import utils._ 26import utility._ 27import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 28import freechips.rocketchip.tilelink._ 29import xiangshan.backend.fu.{PMPReqBundle, PMPRespBundle} 30 31/** Page Table Walk is divided into two parts 32 * One, PTW: page walk for pde, except for leaf entries, one by one 33 * Two, LLPTW: page walk for pte, only the leaf entries(4KB), in parallel 34 */ 35 36 37/** PTW : page table walker 38 * a finite state machine 39 * only take 1GB and 2MB page walks 40 * or in other words, except the last level(leaf) 41 **/ 42class PTWIO()(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst { 43 val req = Flipped(DecoupledIO(new Bundle { 44 val req_info = new L2TlbInnerBundle() 45 val l1Hit = Bool() 46 val ppn = UInt(ppnLen.W) 47 })) 48 val resp = DecoupledIO(new Bundle { 49 val source = UInt(bSourceWidth.W) 50 val resp = new PtwResp 51 }) 52 53 val llptw = DecoupledIO(new LLPTWInBundle()) 54 // NOTE: llptw change from "connect to llptw" to "connect to page cache" 55 // to avoid corner case that caused duplicate entries 56 57 val mem = new Bundle { 58 val req = DecoupledIO(new L2TlbMemReqBundle()) 59 val resp = Flipped(ValidIO(UInt(XLEN.W))) 60 val mask = Input(Bool()) 61 } 62 val pmp = new Bundle { 63 val req = ValidIO(new PMPReqBundle()) 64 val resp = Flipped(new PMPRespBundle()) 65 } 66 67 val refill = Output(new Bundle { 68 val req_info = new L2TlbInnerBundle() 69 val level = UInt(log2Up(Level).W) 70 }) 71} 72 73@chiselName 74class PTW()(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents { 75 val io = IO(new PTWIO) 76 val sfence = io.sfence 77 val mem = io.mem 78 val satp = io.csr.satp 79 val flush = io.sfence.valid || io.csr.satp.changed 80 81 val level = RegInit(0.U(log2Up(Level).W)) 82 val af_level = RegInit(0.U(log2Up(Level).W)) // access fault return this level 83 val ppn = Reg(UInt(ppnLen.W)) 84 val vpn = Reg(UInt(vpnLen.W)) 85 val levelNext = level + 1.U 86 val l1Hit = Reg(Bool()) 87 val memPte = mem.resp.bits.asTypeOf(new PteBundle().cloneType) 88 89 // s/w register 90 val s_pmp_check = RegInit(true.B) 91 val s_mem_req = RegInit(true.B) 92 val s_llptw_req = RegInit(true.B) 93 val w_mem_resp = RegInit(true.B) 94 // for updating "level" 95 val mem_addr_update = RegInit(false.B) 96 97 val idle = RegInit(true.B) 98 val sent_to_pmp = idle === false.B && (s_pmp_check === false.B || mem_addr_update) 99 100 val pageFault = memPte.isPf(level) 101 val accessFault = RegEnable(io.pmp.resp.ld || io.pmp.resp.mmio, sent_to_pmp) 102 103 val find_pte = memPte.isLeaf() || pageFault 104 val to_find_pte = level === 1.U && find_pte === false.B 105 val source = RegEnable(io.req.bits.req_info.source, io.req.fire()) 106 107 val l1addr = MakeAddr(satp.ppn, getVpnn(vpn, 2)) 108 val l2addr = MakeAddr(Mux(l1Hit, ppn, memPte.ppn), getVpnn(vpn, 1)) 109 val mem_addr = Mux(af_level === 0.U, l1addr, l2addr) 110 111 io.req.ready := idle 112 113 io.resp.valid := idle === false.B && mem_addr_update && ((w_mem_resp && find_pte) || (s_pmp_check && accessFault)) 114 io.resp.bits.source := source 115 io.resp.bits.resp.apply(pageFault && !accessFault, accessFault, Mux(accessFault, af_level,level), memPte, vpn, satp.asid) 116 117 io.llptw.valid := s_llptw_req === false.B && to_find_pte && !accessFault 118 io.llptw.bits.req_info.source := source 119 io.llptw.bits.req_info.vpn := vpn 120 io.llptw.bits.ppn := memPte.ppn 121 122 io.pmp.req.valid := DontCare // samecycle, do not use valid 123 io.pmp.req.bits.addr := mem_addr 124 io.pmp.req.bits.size := 3.U // TODO: fix it 125 io.pmp.req.bits.cmd := TlbCmd.read 126 127 mem.req.valid := s_mem_req === false.B && !mem.mask && !accessFault && s_pmp_check 128 mem.req.bits.addr := mem_addr 129 mem.req.bits.id := FsmReqID.U(bMemID.W) 130 131 io.refill.req_info.vpn := vpn 132 io.refill.level := level 133 io.refill.req_info.source := source 134 135 when (io.req.fire()){ 136 val req = io.req.bits 137 level := Mux(req.l1Hit, 1.U, 0.U) 138 af_level := Mux(req.l1Hit, 1.U, 0.U) 139 ppn := Mux(req.l1Hit, io.req.bits.ppn, satp.ppn) 140 vpn := io.req.bits.req_info.vpn 141 l1Hit := req.l1Hit 142 accessFault := false.B 143 s_pmp_check := false.B 144 idle := false.B 145 } 146 147 when(sent_to_pmp && mem_addr_update === false.B){ 148 s_mem_req := false.B 149 s_pmp_check := true.B 150 } 151 152 when(accessFault && idle === false.B){ 153 s_pmp_check := true.B 154 s_mem_req := true.B 155 w_mem_resp := true.B 156 s_llptw_req := true.B 157 mem_addr_update := true.B 158 } 159 160 when (mem.req.fire()){ 161 s_mem_req := true.B 162 w_mem_resp := false.B 163 } 164 165 when(mem.resp.fire() && w_mem_resp === false.B){ 166 w_mem_resp := true.B 167 af_level := af_level + 1.U 168 s_llptw_req := false.B 169 mem_addr_update := true.B 170 } 171 172 when(mem_addr_update){ 173 when(level === 0.U && !(find_pte||accessFault)){ 174 level := levelNext 175 s_mem_req := false.B 176 s_llptw_req := true.B 177 mem_addr_update := false.B 178 }.elsewhen(io.llptw.fire()){ 179 idle := true.B 180 s_llptw_req := true.B 181 mem_addr_update := false.B 182 }.elsewhen(io.resp.fire()){ 183 idle := true.B 184 s_llptw_req := true.B 185 mem_addr_update := false.B 186 accessFault := false.B 187 } 188 } 189 190 191 when (sfence.valid) { 192 idle := true.B 193 s_pmp_check := true.B 194 s_mem_req := true.B 195 s_llptw_req := true.B 196 w_mem_resp := true.B 197 accessFault := false.B 198 mem_addr_update := false.B 199 } 200 201 202 XSDebug(p"[ptw] level:${level} notFound:${pageFault}\n") 203 204 // perf 205 XSPerfAccumulate("fsm_count", io.req.fire()) 206 for (i <- 0 until PtwWidth) { 207 XSPerfAccumulate(s"fsm_count_source${i}", io.req.fire() && io.req.bits.req_info.source === i.U) 208 } 209 XSPerfAccumulate("fsm_busy", !idle) 210 XSPerfAccumulate("fsm_idle", idle) 211 XSPerfAccumulate("resp_blocked", io.resp.valid && !io.resp.ready) 212 XSPerfAccumulate("mem_count", mem.req.fire()) 213 XSPerfAccumulate("mem_cycle", BoolStopWatch(mem.req.fire, mem.resp.fire(), true)) 214 XSPerfAccumulate("mem_blocked", mem.req.valid && !mem.req.ready) 215 216 TimeOutAssert(!idle, timeOutThreshold, "page table walker time out") 217 218 val perfEvents = Seq( 219 ("fsm_count ", io.req.fire() ), 220 ("fsm_busy ", !idle ), 221 ("fsm_idle ", idle ), 222 ("resp_blocked ", io.resp.valid && !io.resp.ready ), 223 ("mem_count ", mem.req.fire() ), 224 ("mem_cycle ", BoolStopWatch(mem.req.fire, mem.resp.fire(), true)), 225 ("mem_blocked ", mem.req.valid && !mem.req.ready ), 226 ) 227 generatePerfEvent() 228} 229 230/*========================= LLPTW ==============================*/ 231 232/** LLPTW : Last Level Page Table Walker 233 * the page walker that only takes 4KB(last level) page walk. 234 **/ 235 236class LLPTWInBundle(implicit p: Parameters) extends XSBundle with HasPtwConst { 237 val req_info = Output(new L2TlbInnerBundle()) 238 val ppn = Output(UInt(PAddrBits.W)) 239} 240 241class LLPTWIO(implicit p: Parameters) extends MMUIOBaseBundle with HasPtwConst { 242 val in = Flipped(DecoupledIO(new LLPTWInBundle())) 243 val out = DecoupledIO(new Bundle { 244 val req_info = Output(new L2TlbInnerBundle()) 245 val id = Output(UInt(bMemID.W)) 246 val af = Output(Bool()) 247 }) 248 val mem = new Bundle { 249 val req = DecoupledIO(new L2TlbMemReqBundle()) 250 val resp = Flipped(Valid(new Bundle { 251 val id = Output(UInt(log2Up(l2tlbParams.llptwsize).W)) 252 })) 253 val enq_ptr = Output(UInt(log2Ceil(l2tlbParams.llptwsize).W)) 254 val buffer_it = Output(Vec(l2tlbParams.llptwsize, Bool())) 255 val refill = Output(new L2TlbInnerBundle()) 256 val req_mask = Input(Vec(l2tlbParams.llptwsize, Bool())) 257 } 258 val cache = DecoupledIO(new L2TlbInnerBundle()) 259 val pmp = new Bundle { 260 val req = Valid(new PMPReqBundle()) 261 val resp = Flipped(new PMPRespBundle()) 262 } 263} 264 265class LLPTWEntry(implicit p: Parameters) extends XSBundle with HasPtwConst { 266 val req_info = new L2TlbInnerBundle() 267 val ppn = UInt(ppnLen.W) 268 val wait_id = UInt(log2Up(l2tlbParams.llptwsize).W) 269 val af = Bool() 270} 271 272 273@chiselName 274class LLPTW(implicit p: Parameters) extends XSModule with HasPtwConst with HasPerfEvents { 275 val io = IO(new LLPTWIO()) 276 277 val flush = io.sfence.valid || io.csr.satp.changed 278 val entries = Reg(Vec(l2tlbParams.llptwsize, new LLPTWEntry())) 279 val state_idle :: state_addr_check :: state_mem_req :: state_mem_waiting :: state_mem_out :: state_cache :: Nil = Enum(6) 280 val state = RegInit(VecInit(Seq.fill(l2tlbParams.llptwsize)(state_idle))) 281 282 val is_emptys = state.map(_ === state_idle) 283 val is_mems = state.map(_ === state_mem_req) 284 val is_waiting = state.map(_ === state_mem_waiting) 285 val is_having = state.map(_ === state_mem_out) 286 val is_cache = state.map(_ === state_cache) 287 288 val full = !ParallelOR(is_emptys).asBool() 289 val enq_ptr = ParallelPriorityEncoder(is_emptys) 290 291 val mem_ptr = ParallelPriorityEncoder(is_having) // TODO: optimize timing, bad: entries -> ptr -> entry 292 val mem_arb = Module(new RRArbiter(new LLPTWEntry(), l2tlbParams.llptwsize)) 293 for (i <- 0 until l2tlbParams.llptwsize) { 294 mem_arb.io.in(i).bits := entries(i) 295 mem_arb.io.in(i).valid := is_mems(i) && !io.mem.req_mask(i) 296 } 297 298 val cache_ptr = ParallelMux(is_cache, (0 until l2tlbParams.llptwsize).map(_.U(log2Up(l2tlbParams.llptwsize).W))) 299 300 // duplicate req 301 // to_wait: wait for the last to access mem, set to mem_resp 302 // to_cache: the last is back just right now, set to mem_cache 303 val dup_vec = state.indices.map(i => 304 dup(io.in.bits.req_info.vpn, entries(i).req_info.vpn) 305 ) 306 val dup_req_fire = mem_arb.io.out.fire() && dup(io.in.bits.req_info.vpn, mem_arb.io.out.bits.req_info.vpn) // dup with the req fire entry 307 val dup_vec_wait = dup_vec.zip(is_waiting).map{case (d, w) => d && w} // dup with "mem_waiting" entres, sending mem req already 308 val dup_vec_having = dup_vec.zipWithIndex.map{case (d, i) => d && is_having(i)} // dup with the "mem_out" entry recv the data just now 309 val wait_id = Mux(dup_req_fire, mem_arb.io.chosen, ParallelMux(dup_vec_wait zip entries.map(_.wait_id))) 310 val dup_wait_resp = io.mem.resp.fire() && VecInit(dup_vec_wait)(io.mem.resp.bits.id) // dup with the entry that data coming next cycle 311 val to_wait = Cat(dup_vec_wait).orR || dup_req_fire 312 val to_mem_out = dup_wait_resp 313 val to_cache = Cat(dup_vec_having).orR 314 XSError(RegNext(dup_req_fire && Cat(dup_vec_wait).orR, init = false.B), "mem req but some entries already waiting, should not happed") 315 316 XSError(io.in.fire() && ((to_mem_out && to_cache) || (to_wait && to_cache)), "llptw enq, to cache conflict with to mem") 317 val mem_resp_hit = RegInit(VecInit(Seq.fill(l2tlbParams.llptwsize)(false.B))) 318 val enq_state_normal = Mux(to_mem_out, state_mem_out, // same to the blew, but the mem resp now 319 Mux(to_wait, state_mem_waiting, 320 Mux(to_cache, state_cache, state_addr_check))) 321 val enq_state = Mux(from_pre(io.in.bits.req_info.source) && enq_state_normal =/= state_addr_check, state_idle, enq_state_normal) 322 when (io.in.fire()) { 323 // if prefetch req does not need mem access, just give it up. 324 // so there will be at most 1 + FilterSize entries that needs re-access page cache 325 // so 2 + FilterSize is enough to avoid dead-lock 326 state(enq_ptr) := enq_state 327 entries(enq_ptr).req_info := io.in.bits.req_info 328 entries(enq_ptr).ppn := io.in.bits.ppn 329 entries(enq_ptr).wait_id := Mux(to_wait, wait_id, enq_ptr) 330 entries(enq_ptr).af := false.B 331 mem_resp_hit(enq_ptr) := to_mem_out 332 } 333 334 val enq_ptr_reg = RegNext(enq_ptr) 335 val need_addr_check = RegNext(enq_state === state_addr_check && io.in.fire() && !flush) 336 val last_enq_vpn = RegEnable(io.in.bits.req_info.vpn, io.in.fire()) 337 338 io.pmp.req.valid := need_addr_check 339 io.pmp.req.bits.addr := RegEnable(MakeAddr(io.in.bits.ppn, getVpnn(io.in.bits.req_info.vpn, 0)), io.in.fire()) 340 io.pmp.req.bits.cmd := TlbCmd.read 341 io.pmp.req.bits.size := 3.U // TODO: fix it 342 val pmp_resp_valid = io.pmp.req.valid // same cycle 343 when (pmp_resp_valid) { 344 // NOTE: when pmp resp but state is not addr check, then the entry is dup with other entry, the state was changed before 345 // when dup with the req-ing entry, set to mem_waiting (above codes), and the ld must be false, so dontcare 346 val accessFault = io.pmp.resp.ld || io.pmp.resp.mmio 347 entries(enq_ptr_reg).af := accessFault 348 state(enq_ptr_reg) := Mux(accessFault, state_mem_out, state_mem_req) 349 } 350 351 when (mem_arb.io.out.fire()) { 352 for (i <- state.indices) { 353 when (state(i) =/= state_idle && dup(entries(i).req_info.vpn, mem_arb.io.out.bits.req_info.vpn)) { 354 // NOTE: "dup enq set state to mem_wait" -> "sending req set other dup entries to mem_wait" 355 state(i) := state_mem_waiting 356 entries(i).wait_id := mem_arb.io.chosen 357 } 358 } 359 } 360 when (io.mem.resp.fire()) { 361 state.indices.map{i => 362 when (state(i) === state_mem_waiting && io.mem.resp.bits.id === entries(i).wait_id) { 363 state(i) := state_mem_out 364 mem_resp_hit(i) := true.B 365 } 366 } 367 } 368 when (io.out.fire()) { 369 assert(state(mem_ptr) === state_mem_out) 370 state(mem_ptr) := state_idle 371 } 372 mem_resp_hit.map(a => when (a) { a := false.B } ) 373 374 when (io.cache.fire) { 375 state(cache_ptr) := state_idle 376 } 377 XSError(io.out.fire && io.cache.fire && (mem_ptr === cache_ptr), "mem resp and cache fire at the same time at same entry") 378 379 when (flush) { 380 state.map(_ := state_idle) 381 } 382 383 io.in.ready := !full 384 385 io.out.valid := ParallelOR(is_having).asBool() 386 io.out.bits.req_info := entries(mem_ptr).req_info 387 io.out.bits.id := mem_ptr 388 io.out.bits.af := entries(mem_ptr).af 389 390 io.mem.req.valid := mem_arb.io.out.valid && !flush 391 io.mem.req.bits.addr := MakeAddr(mem_arb.io.out.bits.ppn, getVpnn(mem_arb.io.out.bits.req_info.vpn, 0)) 392 io.mem.req.bits.id := mem_arb.io.chosen 393 mem_arb.io.out.ready := io.mem.req.ready 394 io.mem.refill := entries(RegNext(io.mem.resp.bits.id(log2Up(l2tlbParams.llptwsize)-1, 0))).req_info 395 io.mem.buffer_it := mem_resp_hit 396 io.mem.enq_ptr := enq_ptr 397 398 io.cache.valid := Cat(is_cache).orR 399 io.cache.bits := ParallelMux(is_cache, entries.map(_.req_info)) 400 401 XSPerfAccumulate("llptw_in_count", io.in.fire()) 402 XSPerfAccumulate("llptw_in_block", io.in.valid && !io.in.ready) 403 for (i <- 0 until 7) { 404 XSPerfAccumulate(s"enq_state${i}", io.in.fire() && enq_state === i.U) 405 } 406 for (i <- 0 until (l2tlbParams.llptwsize + 1)) { 407 XSPerfAccumulate(s"util${i}", PopCount(is_emptys.map(!_)) === i.U) 408 XSPerfAccumulate(s"mem_util${i}", PopCount(is_mems) === i.U) 409 XSPerfAccumulate(s"waiting_util${i}", PopCount(is_waiting) === i.U) 410 } 411 XSPerfAccumulate("mem_count", io.mem.req.fire()) 412 XSPerfAccumulate("mem_cycle", PopCount(is_waiting) =/= 0.U) 413 XSPerfAccumulate("blocked_in", io.in.valid && !io.in.ready) 414 415 for (i <- 0 until l2tlbParams.llptwsize) { 416 TimeOutAssert(state(i) =/= state_idle, timeOutThreshold, s"missqueue time out no out ${i}") 417 } 418 419 val perfEvents = Seq( 420 ("tlbllptw_incount ", io.in.fire() ), 421 ("tlbllptw_inblock ", io.in.valid && !io.in.ready), 422 ("tlbllptw_memcount ", io.mem.req.fire() ), 423 ("tlbllptw_memcycle ", PopCount(is_waiting) ), 424 ) 425 generatePerfEvent() 426} 427