1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.cache.mmu 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import xiangshan._ 23import utils._ 24import utility._ 25import xiangshan.backend.rob.RobPtr 26import xiangshan.backend.fu.util.HasCSRConst 27import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 28import freechips.rocketchip.tilelink._ 29import xiangshan.backend.fu.{PMPReqBundle, PMPConfig} 30import xiangshan.backend.fu.PMPBundle 31 32 33abstract class TlbBundle(implicit p: Parameters) extends XSBundle with HasTlbConst 34abstract class TlbModule(implicit p: Parameters) extends XSModule with HasTlbConst 35 36 37class PtePermBundle(implicit p: Parameters) extends TlbBundle { 38 val d = Bool() 39 val a = Bool() 40 val g = Bool() 41 val u = Bool() 42 val x = Bool() 43 val w = Bool() 44 val r = Bool() 45 46 override def toPrintable: Printable = { 47 p"d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r}"// + 48 //(if(hasV) (p"v:${v}") else p"") 49 } 50} 51 52class TlbPMBundle(implicit p: Parameters) extends TlbBundle { 53 val r = Bool() 54 val w = Bool() 55 val x = Bool() 56 val c = Bool() 57 val atomic = Bool() 58 59 def assign_ap(pm: PMPConfig) = { 60 r := pm.r 61 w := pm.w 62 x := pm.x 63 c := pm.c 64 atomic := pm.atomic 65 } 66} 67 68class TlbPermBundle(implicit p: Parameters) extends TlbBundle { 69 val pf = Bool() // NOTE: if this is true, just raise pf 70 val af = Bool() // NOTE: if this is true, just raise af 71 // pagetable perm (software defined) 72 val d = Bool() 73 val a = Bool() 74 val g = Bool() 75 val u = Bool() 76 val x = Bool() 77 val w = Bool() 78 val r = Bool() 79 80 def apply(item: PtwSectorResp) = { 81 val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 82 this.pf := item.pf 83 this.af := item.af 84 this.d := ptePerm.d 85 this.a := ptePerm.a 86 this.g := ptePerm.g 87 this.u := ptePerm.u 88 this.x := ptePerm.x 89 this.w := ptePerm.w 90 this.r := ptePerm.r 91 92 this 93 } 94 95 def applyS2(item: HptwResp) = { 96 val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 97 this.pf := item.gpf 98 this.af := item.gaf 99 this.d := ptePerm.d 100 this.a := ptePerm.a 101 this.g := ptePerm.g 102 this.u := ptePerm.u 103 this.x := ptePerm.x 104 this.w := ptePerm.w 105 this.r := ptePerm.r 106 107 this 108 } 109 110 override def toPrintable: Printable = { 111 p"pf:${pf} af:${af} d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r} " 112 } 113} 114 115class TlbSectorPermBundle(implicit p: Parameters) extends TlbBundle { 116 val pf = Bool() // NOTE: if this is true, just raise pf 117 val af = Bool() // NOTE: if this is true, just raise af 118 // pagetable perm (software defined) 119 val d = Bool() 120 val a = Bool() 121 val g = Bool() 122 val u = Bool() 123 val x = Bool() 124 val w = Bool() 125 val r = Bool() 126 127 def apply(item: PtwSectorResp) = { 128 val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType) 129 this.pf := item.pf 130 this.af := item.af 131 this.d := ptePerm.d 132 this.a := ptePerm.a 133 this.g := ptePerm.g 134 this.u := ptePerm.u 135 this.x := ptePerm.x 136 this.w := ptePerm.w 137 this.r := ptePerm.r 138 139 this 140 } 141 override def toPrintable: Printable = { 142 p"pf:${pf} af:${af} d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r} " 143 } 144} 145 146// multi-read && single-write 147// input is data, output is hot-code(not one-hot) 148class CAMTemplate[T <: Data](val gen: T, val set: Int, val readWidth: Int)(implicit p: Parameters) extends TlbModule { 149 val io = IO(new Bundle { 150 val r = new Bundle { 151 val req = Input(Vec(readWidth, gen)) 152 val resp = Output(Vec(readWidth, Vec(set, Bool()))) 153 } 154 val w = Input(new Bundle { 155 val valid = Bool() 156 val bits = new Bundle { 157 val index = UInt(log2Up(set).W) 158 val data = gen 159 } 160 }) 161 }) 162 163 val wordType = UInt(gen.getWidth.W) 164 val array = Reg(Vec(set, wordType)) 165 166 io.r.resp.zipWithIndex.map{ case (a,i) => 167 a := array.map(io.r.req(i).asUInt === _) 168 } 169 170 when (io.w.valid) { 171 array(io.w.bits.index) := io.w.bits.data.asUInt 172 } 173} 174 175class TlbEntry(pageNormal: Boolean, pageSuper: Boolean)(implicit p: Parameters) extends TlbBundle { 176 require(pageNormal || pageSuper) 177 178 val tag = if (!pageNormal) UInt((vpnLen - vpnnLen).W) 179 else UInt(vpnLen.W) 180 val asid = UInt(asidLen.W) 181 val level = if (!pageNormal) Some(UInt(1.W)) 182 else if (!pageSuper) None 183 else Some(UInt(2.W)) 184 val ppn = if (!pageNormal) UInt((ppnLen - vpnnLen).W) 185 else UInt(ppnLen.W) 186 val perm = new TlbPermBundle 187 188 val g_perm = new TlbPermBundle 189 val vmid = UInt(vmidLen.W) 190 val s2xlate = UInt(2.W) 191 192 193 /** level usage: 194 * !PageSuper: page is only normal, level is None, match all the tag 195 * !PageNormal: page is only super, level is a Bool(), match high 9*2 parts 196 * bits0 0: need mid 9bits 197 * 1: no need mid 9bits 198 * PageSuper && PageNormal: page hold all the three type, 199 * bits0 0: need low 9bits 200 * bits1 0: need mid 9bits 201 */ 202 203 204 def hit(vpn: UInt, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, vmid: UInt, hasS2xlate: Bool, onlyS2: Bool): Bool = { 205 val asid_hit = Mux(hasS2xlate && onlyS2, true.B, if (ignoreAsid) true.B else (this.asid === asid)) 206 val vmid_hit = Mux(hasS2xlate, this.vmid === vmid, true.B) 207 208 // NOTE: for timing, dont care low set index bits at hit check 209 // do not need store the low bits actually 210 if (!pageSuper) asid_hit && drop_set_equal(vpn, tag, nSets) && vmid_hit 211 else if (!pageNormal) { 212 val tag_match_hi = tag(vpnnLen*2-1, vpnnLen) === vpn(vpnnLen*3-1, vpnnLen*2) 213 val tag_match_mi = tag(vpnnLen-1, 0) === vpn(vpnnLen*2-1, vpnnLen) 214 val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi) 215 asid_hit && tag_match && vmid_hit 216 } 217 else { 218 val tmp_level = level.get 219 val tag_match_hi = tag(vpnnLen*3-1, vpnnLen*2) === vpn(vpnnLen*3-1, vpnnLen*2) 220 val tag_match_mi = tag(vpnnLen*2-1, vpnnLen) === vpn(vpnnLen*2-1, vpnnLen) 221 val tag_match_lo = tag(vpnnLen-1, 0) === vpn(vpnnLen-1, 0) // if pageNormal is false, this will always be false 222 val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo) 223 asid_hit && tag_match && vmid_hit 224 } 225 } 226 227 def apply(item: PtwRespS2, pm: PMPConfig): TlbEntry = { 228 this.asid := item.s1.entry.asid 229 val inner_level = item.s1.entry.level.getOrElse(0.U) max item.s2.entry.level.getOrElse(0.U) 230 this.level.map(_ := { if (pageNormal && pageSuper) MuxLookup(inner_level, 0.U)(Seq( 231 0.U -> 3.U, 232 1.U -> 1.U, 233 2.U -> 0.U )) 234 else if (pageSuper) ~inner_level(0) 235 else 0.U }) 236 val s1tag = {if (pageNormal) Cat(item.s1.entry.tag, OHToUInt(item.s1.pteidx)) else item.s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth)} 237 val s2tag = {if (pageNormal) item.s2.entry.tag else item.s2.entry.tag(vpnLen - 1, vpnnLen)} 238 this.tag := Mux(item.s2xlate === onlyStage2, s2tag, s1tag) 239 240 val s1ppn = { 241 if (!pageNormal) item.s1.entry.ppn(sectorppnLen - 1, vpnnLen - sectortlbwidth) 242 else Cat(item.s1.entry.ppn, item.s1.ppn_low(OHToUInt(item.s1.pteidx))) 243 } 244 val s2ppn = { 245 if (!pageNormal) item.s2.entry.ppn(ppnLen - 1, vpnnLen) 246 else item.s2.entry.ppn 247 } 248 this.ppn := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn, s2ppn) 249 this.perm.apply(item.s1) 250 this.vmid := item.s1.entry.vmid.getOrElse(0.U) 251 this.g_perm.applyS2(item.s2) 252 this.s2xlate := item.s2xlate 253 this 254 } 255 256 // 4KB is normal entry, 2MB/1GB is considered as super entry 257 def is_normalentry(): Bool = { 258 if (!pageSuper) { true.B } 259 else if (!pageNormal) { false.B } 260 else { level.get === 0.U } 261 } 262 263 264 def genPPN(saveLevel: Boolean = false, valid: Bool = false.B)(vpn: UInt) : UInt = { 265 val inner_level = level.getOrElse(0.U) 266 val ppn_res = if (!pageSuper) ppn 267 else if (!pageNormal) Cat(ppn(ppnLen-vpnnLen-1, vpnnLen), 268 Mux(inner_level(0), vpn(vpnnLen*2-1, vpnnLen), ppn(vpnnLen-1,0)), 269 vpn(vpnnLen-1, 0)) 270 else Cat(ppn(ppnLen-1, vpnnLen*2), 271 Mux(inner_level(1), vpn(vpnnLen*2-1, vpnnLen), ppn(vpnnLen*2-1, vpnnLen)), 272 Mux(inner_level(0), vpn(vpnnLen-1, 0), ppn(vpnnLen-1, 0))) 273 274 if (saveLevel) Cat(ppn(ppn.getWidth-1, vpnnLen*2), RegEnable(ppn_res(vpnnLen*2-1, 0), valid)) 275 else ppn_res 276 } 277 278 override def toPrintable: Printable = { 279 val inner_level = level.getOrElse(2.U) 280 p"asid: ${asid} level:${inner_level} vpn:${Hexadecimal(tag)} ppn:${Hexadecimal(ppn)} perm:${perm}" 281 } 282 283} 284 285class TlbSectorEntry(pageNormal: Boolean, pageSuper: Boolean)(implicit p: Parameters) extends TlbBundle { 286 require(pageNormal || pageSuper) 287 288 val tag = if (!pageNormal) UInt((vpnLen - vpnnLen).W) 289 else UInt(sectorvpnLen.W) 290 val asid = UInt(asidLen.W) 291 val level = if (!pageNormal) Some(UInt(1.W)) 292 else if (!pageSuper) None 293 else Some(UInt(2.W)) 294 val ppn = if (!pageNormal) UInt((ppnLen - vpnnLen).W) 295 else UInt(sectorppnLen.W) //only used when disable s2xlate 296 val perm = new TlbSectorPermBundle 297 val valididx = Vec(tlbcontiguous, Bool()) 298 val pteidx = Vec(tlbcontiguous, Bool()) 299 val ppn_low = Vec(tlbcontiguous, UInt(sectortlbwidth.W)) 300 301 val g_perm = new TlbPermBundle 302 val vmid = UInt(vmidLen.W) 303 val s2xlate = UInt(2.W) 304 305 306 /** level usage: 307 * !PageSuper: page is only normal, level is None, match all the tag 308 * !PageNormal: page is only super, level is a Bool(), match high 9*2 parts 309 * bits0 0: need mid 9bits 310 * 1: no need mid 9bits 311 * PageSuper && PageNormal: page hold all the three type, 312 * bits0 0: need low 9bits 313 * bits1 0: need mid 9bits 314 */ 315 316 def hit(vpn: UInt, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, vmid: UInt, hasS2xlate: Bool, onlyS2: Bool = false.B, onlyS1: Bool = false.B): Bool = { 317 val asid_hit = Mux(hasS2xlate && onlyS2, true.B, if (ignoreAsid) true.B else (this.asid === asid)) 318 val addr_low_hit = valididx(vpn(2, 0)) 319 val vmid_hit = Mux(hasS2xlate, this.vmid === vmid, true.B) 320 val isPageSuper = !(level.getOrElse(0.U) === 0.U) 321 val pteidx_hit = Mux(hasS2xlate && !isPageSuper && !onlyS1, pteidx(vpn(2, 0)), true.B) 322 // NOTE: for timing, dont care low set index bits at hit check 323 // do not need store the low bits actually 324 if (!pageSuper) asid_hit && drop_set_equal(vpn(vpn.getWidth - 1, sectortlbwidth), tag, nSets) && addr_low_hit && vmid_hit && pteidx_hit 325 else if (!pageNormal) { 326 val tag_match_hi = tag(vpnnLen * 2 - 1, vpnnLen) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 327 val tag_match_mi = tag(vpnnLen - 1, 0) === vpn(vpnnLen * 2 - 1, vpnnLen) 328 val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi) 329 asid_hit && tag_match && addr_low_hit && vmid_hit && pteidx_hit 330 } 331 else { 332 val tmp_level = level.get 333 val tag_match_hi = tag(vpnnLen * 3 - sectortlbwidth - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 334 val tag_match_mi = tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen) 335 val tag_match_lo = tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) // if pageNormal is false, this will always be false 336 val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo) 337 asid_hit && tag_match && addr_low_hit && vmid_hit && pteidx_hit 338 } 339 } 340 341 def wbhit(data: PtwRespS2, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false, s2xlate: UInt): Bool = { 342 val s1vpn = data.s1.entry.tag 343 val s2vpn = data.s2.entry.tag(vpnLen - 1, sectortlbwidth) 344 val wb_vpn = Mux(s2xlate === onlyStage2, s2vpn, s1vpn) 345 val vpn = Cat(wb_vpn, 0.U(sectortlbwidth.W)) 346 val asid_hit = if (ignoreAsid) true.B else (this.asid === asid) 347 val vpn_hit = Wire(Bool()) 348 val index_hit = Wire(Vec(tlbcontiguous, Bool())) 349 val wb_valididx = Wire(Vec(tlbcontiguous, Bool())) 350 val hasS2xlate = this.s2xlate =/= noS2xlate 351 val onlyS1 = this.s2xlate === onlyStage1 352 val onlyS2 = this.s2xlate === onlyStage2 353 val pteidx_hit = MuxCase(true.B, Seq( 354 onlyS2 -> (VecInit(UIntToOH(data.s2.entry.tag(sectortlbwidth - 1, 0))).asUInt === pteidx.asUInt), 355 hasS2xlate -> (pteidx.asUInt === data.s1.pteidx.asUInt) 356 )) 357 wb_valididx := Mux(s2xlate === onlyStage2, VecInit(UIntToOH(data.s2.entry.tag(sectortlbwidth - 1, 0)).asBools), data.s1.valididx) 358 val s2xlate_hit = s2xlate === this.s2xlate 359 // NOTE: for timing, dont care low set index bits at hit check 360 // do not need store the low bits actually 361 if (!pageSuper) { 362 vpn_hit := asid_hit && drop_set_equal(vpn(vpn.getWidth - 1, sectortlbwidth), tag, nSets) 363 } 364 else if (!pageNormal) { 365 val tag_match_hi = tag(vpnnLen * 2 - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 366 val tag_match_mi = tag(vpnnLen - 1, 0) === vpn(vpnnLen * 2 - 1, vpnnLen) 367 val tag_match = tag_match_hi && (level.get.asBool || tag_match_mi) 368 vpn_hit := asid_hit && tag_match 369 } 370 else { 371 val tmp_level = level.get 372 val tag_match_hi = tag(vpnnLen * 3 - sectortlbwidth - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnnLen * 3 - 1, vpnnLen * 2) 373 val tag_match_mi = tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen) 374 val tag_match_lo = tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) // if pageNormal is false, this will always be false 375 val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo) 376 vpn_hit := asid_hit && tag_match 377 } 378 379 for (i <- 0 until tlbcontiguous) { 380 index_hit(i) := wb_valididx(i) && valididx(i) 381 } 382 383 // For example, tlb req to page cache with vpn 0x10 384 // At this time, 0x13 has not been paged, so page cache only resp 0x10 385 // When 0x13 refill to page cache, previous item will be flushed 386 // Now 0x10 and 0x13 are both valid in page cache 387 // However, when 0x13 refill to tlb, will trigger multi hit 388 // So will only trigger multi-hit when PopCount(data.valididx) = 1 389 vpn_hit && index_hit.reduce(_ || _) && PopCount(wb_valididx) === 1.U && s2xlate_hit && pteidx_hit 390 } 391 392 def apply(item: PtwRespS2): TlbSectorEntry = { 393 this.asid := item.s1.entry.asid 394 val inner_level = MuxLookup(item.s2xlate, 2.U)(Seq( 395 onlyStage1 -> item.s1.entry.level.getOrElse(0.U), 396 onlyStage2 -> item.s2.entry.level.getOrElse(0.U), 397 allStage -> (item.s1.entry.level.getOrElse(0.U) max item.s2.entry.level.getOrElse(0.U)), 398 noS2xlate -> item.s1.entry.level.getOrElse(0.U) 399 )) 400 this.level.map(_ := { if (pageNormal && pageSuper) MuxLookup(inner_level, 0.U)(Seq( 401 0.U -> 3.U, 402 1.U -> 1.U, 403 2.U -> 0.U )) 404 else if (pageSuper) ~inner_level(0) 405 else 0.U }) 406 this.perm.apply(item.s1) 407 408 val s2page_pageSuper = item.s2.entry.level.getOrElse(0.U) =/= 2.U 409 this.pteidx := Mux(item.s2xlate === onlyStage2, VecInit(UIntToOH(item.s2.entry.tag(sectortlbwidth - 1, 0)).asBools), item.s1.pteidx) 410 val s2_valid = Mux(s2page_pageSuper, VecInit(Seq.fill(tlbcontiguous)(true.B)), VecInit(UIntToOH(item.s2.entry.tag(sectortlbwidth - 1, 0)).asBools)) 411 this.valididx := Mux(item.s2xlate === onlyStage2, s2_valid, item.s1.valididx) 412 413 val s1tag = {if (pageNormal) item.s1.entry.tag else item.s1.entry.tag(sectorvpnLen - 1, vpnnLen - sectortlbwidth)} 414 val s2tag = {if (pageNormal) item.s2.entry.tag(vpnLen - 1, sectortlbwidth) else item.s2.entry.tag(vpnLen - 1, vpnnLen)} 415 this.tag := Mux(item.s2xlate === onlyStage2, s2tag, s1tag) 416 417 val s1ppn = { 418 if (!pageNormal) item.s1.entry.ppn(sectorppnLen - 1, vpnnLen - sectortlbwidth) else item.s1.entry.ppn 419 } 420 val s1ppn_low = item.s1.ppn_low 421 val s2ppn = { 422 if (!pageNormal) 423 MuxLookup(item.s2.entry.level.getOrElse(0.U), item.s2.entry.ppn(ppnLen - 1, vpnnLen))(Seq( 424 0.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen * 2), item.s2.entry.tag(vpnnLen * 2 - 1, vpnnLen)), 425 )) 426 else 427 MuxLookup(item.s2.entry.level.getOrElse(0.U), item.s2.entry.ppn(ppnLen - 1, sectortlbwidth))(Seq( 428 0.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen * 2), item.s2.entry.tag(vpnnLen * 2 - 1, sectortlbwidth)), 429 1.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen), item.s2.entry.tag(vpnnLen - 1, sectortlbwidth)) 430 )) 431 } 432 val s2ppn_tmp = { 433 MuxLookup(item.s2.entry.level.getOrElse(0.U), item.s2.entry.ppn(ppnLen - 1, 0))(Seq( 434 0.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen * 2), item.s2.entry.tag(vpnnLen * 2 - 1, 0)), 435 1.U -> Cat(item.s2.entry.ppn(ppnLen - 1, vpnnLen), item.s2.entry.tag(vpnnLen - 1, 0)) 436 )) 437 } 438 val s2ppn_low = VecInit(Seq.fill(tlbcontiguous)(s2ppn_tmp(sectortlbwidth - 1, 0))) 439 this.ppn := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn, s2ppn) 440 this.ppn_low := Mux(item.s2xlate === noS2xlate || item.s2xlate === onlyStage1, s1ppn_low, s2ppn_low) 441 this.vmid := item.s1.entry.vmid.getOrElse(0.U) 442 this.g_perm.applyS2(item.s2) 443 this.s2xlate := item.s2xlate 444 this 445 } 446 447 // 4KB is normal entry, 2MB/1GB is considered as super entry 448 def is_normalentry(): Bool = { 449 if (!pageSuper) { true.B } 450 else if (!pageNormal) { false.B } 451 else { level.get === 0.U } 452 } 453 454 455 def genPPN(saveLevel: Boolean = false, valid: Bool = false.B)(vpn: UInt) : UInt = { 456 val inner_level = level.getOrElse(0.U) 457 val ppn_res = if (!pageSuper) Cat(ppn, ppn_low(vpn(sectortlbwidth - 1, 0))) 458 else if (!pageNormal) Cat(ppn(ppnLen - vpnnLen - 1, vpnnLen), 459 Mux(inner_level(0), vpn(vpnnLen * 2 - 1, vpnnLen), ppn(vpnnLen - 1,0)), 460 vpn(vpnnLen - 1, 0)) 461 else Cat(ppn(sectorppnLen - 1, vpnnLen * 2 - sectortlbwidth), 462 Mux(inner_level(1), vpn(vpnnLen * 2 - 1, vpnnLen), ppn(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth)), 463 Mux(inner_level(0), vpn(vpnnLen - 1, 0), Cat(ppn(vpnnLen - sectortlbwidth - 1, 0), ppn_low(vpn(sectortlbwidth - 1, 0))))) 464 465 if (saveLevel) { 466 if (ppn.getWidth == ppnLen - vpnnLen) { 467 Cat(ppn(ppn.getWidth - 1, vpnnLen * 2), RegEnable(ppn_res(vpnnLen * 2 - 1, 0), valid)) 468 } else { 469 require(ppn.getWidth == sectorppnLen) 470 Cat(ppn(ppn.getWidth - 1, vpnnLen * 2 - sectortlbwidth), RegEnable(ppn_res(vpnnLen * 2 - 1, 0), valid)) 471 } 472 } 473 else ppn_res 474 } 475 476 def hasS2xlate(): Bool = { 477 this.s2xlate =/= noS2xlate 478 } 479 480 override def toPrintable: Printable = { 481 val inner_level = level.getOrElse(2.U) 482 p"asid: ${asid} level:${inner_level} vpn:${Hexadecimal(tag)} ppn:${Hexadecimal(ppn)} perm:${perm}" 483 } 484 485} 486 487object TlbCmd { 488 def read = "b00".U 489 def write = "b01".U 490 def exec = "b10".U 491 492 def atom_read = "b100".U // lr 493 def atom_write = "b101".U // sc / amo 494 495 def apply() = UInt(3.W) 496 def isRead(a: UInt) = a(1,0)===read 497 def isWrite(a: UInt) = a(1,0)===write 498 def isExec(a: UInt) = a(1,0)===exec 499 500 def isAtom(a: UInt) = a(2) 501 def isAmo(a: UInt) = a===atom_write // NOTE: sc mixed 502} 503 504class TlbStorageIO(nSets: Int, nWays: Int, ports: Int, nDups: Int = 1)(implicit p: Parameters) extends MMUIOBaseBundle { 505 val r = new Bundle { 506 val req = Vec(ports, Flipped(DecoupledIO(new Bundle { 507 val vpn = Output(UInt(vpnLen.W)) 508 val s2xlate = Output(UInt(2.W)) 509 }))) 510 val resp = Vec(ports, ValidIO(new Bundle{ 511 val hit = Output(Bool()) 512 val ppn = Vec(nDups, Output(UInt(ppnLen.W))) 513 val perm = Vec(nDups, Output(new TlbSectorPermBundle())) 514 val g_perm = Vec(nDups, Output(new TlbPermBundle())) 515 val s2xlate = Vec(nDups, Output(UInt(2.W))) 516 })) 517 } 518 val w = Flipped(ValidIO(new Bundle { 519 val wayIdx = Output(UInt(log2Up(nWays).W)) 520 val data = Output(new PtwRespS2) 521 })) 522 val access = Vec(ports, new ReplaceAccessBundle(nSets, nWays)) 523 524 def r_req_apply(valid: Bool, vpn: UInt, i: Int, s2xlate:UInt): Unit = { 525 this.r.req(i).valid := valid 526 this.r.req(i).bits.vpn := vpn 527 this.r.req(i).bits.s2xlate := s2xlate 528 529 } 530 531 def r_resp_apply(i: Int) = { 532 (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm, this.r.resp(i).bits.g_perm) 533 } 534 535 def w_apply(valid: Bool, wayIdx: UInt, data: PtwRespS2): Unit = { 536 this.w.valid := valid 537 this.w.bits.wayIdx := wayIdx 538 this.w.bits.data := data 539 } 540 541} 542 543class TlbStorageWrapperIO(ports: Int, q: TLBParameters, nDups: Int = 1)(implicit p: Parameters) extends MMUIOBaseBundle { 544 val r = new Bundle { 545 val req = Vec(ports, Flipped(DecoupledIO(new Bundle { 546 val vpn = Output(UInt(vpnLen.W)) 547 val s2xlate = Output(UInt(2.W)) 548 }))) 549 val resp = Vec(ports, ValidIO(new Bundle{ 550 val hit = Output(Bool()) 551 val ppn = Vec(nDups, Output(UInt(ppnLen.W))) 552 val perm = Vec(nDups, Output(new TlbPermBundle())) 553 val g_perm = Vec(nDups, Output(new TlbPermBundle())) 554 val s2xlate = Vec(nDups, Output(UInt(2.W))) 555 })) 556 } 557 val w = Flipped(ValidIO(new Bundle { 558 val data = Output(new PtwRespS2) 559 })) 560 val replace = if (q.outReplace) Flipped(new TlbReplaceIO(ports, q)) else null 561 562 def r_req_apply(valid: Bool, vpn: UInt, i: Int, s2xlate: UInt): Unit = { 563 this.r.req(i).valid := valid 564 this.r.req(i).bits.vpn := vpn 565 this.r.req(i).bits.s2xlate := s2xlate 566 } 567 568 def r_resp_apply(i: Int) = { 569 (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm, this.r.resp(i).bits.g_perm, this.r.resp(i).bits.s2xlate) 570 } 571 572 def w_apply(valid: Bool, data: PtwRespS2): Unit = { 573 this.w.valid := valid 574 this.w.bits.data := data 575 } 576} 577 578class ReplaceAccessBundle(nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle { 579 val sets = Output(UInt(log2Up(nSets).W)) 580 val touch_ways = ValidIO(Output(UInt(log2Up(nWays).W))) 581} 582 583class ReplaceIO(Width: Int, nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle { 584 val access = Vec(Width, Flipped(new ReplaceAccessBundle(nSets, nWays))) 585 586 val refillIdx = Output(UInt(log2Up(nWays).W)) 587 val chosen_set = Flipped(Output(UInt(log2Up(nSets).W))) 588 589 def apply_sep(in: Seq[ReplaceIO], vpn: UInt): Unit = { 590 for ((ac_rep, ac_tlb) <- access.zip(in.map(a => a.access.map(b => b)).flatten)) { 591 ac_rep := ac_tlb 592 } 593 this.chosen_set := get_set_idx(vpn, nSets) 594 in.map(a => a.refillIdx := this.refillIdx) 595 } 596} 597 598class TlbReplaceIO(Width: Int, q: TLBParameters)(implicit p: Parameters) extends 599 TlbBundle { 600 val page = new ReplaceIO(Width, q.NSets, q.NWays) 601 602 def apply_sep(in: Seq[TlbReplaceIO], vpn: UInt) = { 603 this.page.apply_sep(in.map(_.page), vpn) 604 } 605 606} 607 608class MemBlockidxBundle(implicit p: Parameters) extends TlbBundle { 609 val is_ld = Bool() 610 val is_st = Bool() 611 val idx = 612 if (VirtualLoadQueueSize >= StoreQueueSize) { 613 val idx = UInt(log2Ceil(VirtualLoadQueueSize).W) 614 idx 615 } else { 616 val idx = UInt(log2Ceil(StoreQueueSize).W) 617 idx 618 } 619} 620 621class TlbReq(implicit p: Parameters) extends TlbBundle { 622 val vaddr = Output(UInt(VAddrBits.W)) 623 val cmd = Output(TlbCmd()) 624 val hyperinst = Output(Bool()) 625 val hlvx = Output(Bool()) 626 val size = Output(UInt(log2Ceil(log2Ceil(XLEN/8)+1).W)) 627 val kill = Output(Bool()) // Use for blocked tlb that need sync with other module like icache 628 val memidx = Output(new MemBlockidxBundle) 629 // do not translate, but still do pmp/pma check 630 val no_translate = Output(Bool()) 631 val debug = new Bundle { 632 val pc = Output(UInt(XLEN.W)) 633 val robIdx = Output(new RobPtr) 634 val isFirstIssue = Output(Bool()) 635 } 636 637 // Maybe Block req needs a kill: for itlb, itlb and icache may not sync, itlb should wait icache to go ahead 638 override def toPrintable: Printable = { 639 p"vaddr:0x${Hexadecimal(vaddr)} cmd:${cmd} kill:${kill} pc:0x${Hexadecimal(debug.pc)} robIdx:${debug.robIdx}" 640 } 641} 642 643class TlbExceptionBundle(implicit p: Parameters) extends TlbBundle { 644 val ld = Output(Bool()) 645 val st = Output(Bool()) 646 val instr = Output(Bool()) 647} 648 649class TlbResp(nDups: Int = 1)(implicit p: Parameters) extends TlbBundle { 650 val paddr = Vec(nDups, Output(UInt(PAddrBits.W))) 651 val gpaddr = Vec(nDups, Output(UInt(GPAddrBits.W))) 652 val miss = Output(Bool()) 653 val excp = Vec(nDups, new Bundle { 654 val gpf = new TlbExceptionBundle() 655 val pf = new TlbExceptionBundle() 656 val af = new TlbExceptionBundle() 657 }) 658 val ptwBack = Output(Bool()) // when ptw back, wake up replay rs's state 659 val memidx = Output(new MemBlockidxBundle) 660 661 val debug = new Bundle { 662 val robIdx = Output(new RobPtr) 663 val isFirstIssue = Output(Bool()) 664 } 665 override def toPrintable: Printable = { 666 p"paddr:0x${Hexadecimal(paddr(0))} miss:${miss} excp.pf: ld:${excp(0).pf.ld} st:${excp(0).pf.st} instr:${excp(0).pf.instr} ptwBack:${ptwBack}" 667 } 668} 669 670class TlbRequestIO(nRespDups: Int = 1)(implicit p: Parameters) extends TlbBundle { 671 val req = DecoupledIO(new TlbReq) 672 val req_kill = Output(Bool()) 673 val resp = Flipped(DecoupledIO(new TlbResp(nRespDups))) 674} 675 676class TlbPtwIO(Width: Int = 1)(implicit p: Parameters) extends TlbBundle { 677 val req = Vec(Width, DecoupledIO(new PtwReq)) 678 val resp = Flipped(DecoupledIO(new PtwRespS2)) 679 680 681 override def toPrintable: Printable = { 682 p"req(0):${req(0).valid} ${req(0).ready} ${req(0).bits} | resp:${resp.valid} ${resp.ready} ${resp.bits}" 683 } 684} 685 686class TlbPtwIOwithMemIdx(Width: Int = 1)(implicit p: Parameters) extends TlbBundle { 687 val req = Vec(Width, DecoupledIO(new PtwReqwithMemIdx)) 688 val resp = Flipped(DecoupledIO(new PtwRespS2withMemIdx())) 689 690 691 override def toPrintable: Printable = { 692 p"req(0):${req(0).valid} ${req(0).ready} ${req(0).bits} | resp:${resp.valid} ${resp.ready} ${resp.bits}" 693 } 694} 695 696class TlbHintReq(implicit p: Parameters) extends TlbBundle { 697 val id = Output(UInt(log2Up(loadfiltersize).W)) 698 val full = Output(Bool()) 699} 700 701class TLBHintResp(implicit p: Parameters) extends TlbBundle { 702 val id = Output(UInt(log2Up(loadfiltersize).W)) 703 // When there are multiple matching entries for PTW resp in filter 704 // e.g. vaddr 0, 0x80000000. vaddr 1, 0x80010000 705 // these two vaddrs are not in a same 4K Page, so will send to ptw twice 706 // However, when ptw resp, if they are in a 1G or 2M huge page 707 // The two entries will both hit, and both need to replay 708 val replay_all = Output(Bool()) 709} 710 711class TlbHintIO(implicit p: Parameters) extends TlbBundle { 712 val req = Vec(backendParams.LdExuCnt, new TlbHintReq) 713 val resp = ValidIO(new TLBHintResp) 714} 715 716class MMUIOBaseBundle(implicit p: Parameters) extends TlbBundle { 717 val sfence = Input(new SfenceBundle) 718 val csr = Input(new TlbCsrBundle) 719 720 def base_connect(sfence: SfenceBundle, csr: TlbCsrBundle): Unit = { 721 this.sfence <> sfence 722 this.csr <> csr 723 } 724 725 // overwrite satp. write satp will cause flushpipe but csr.priv won't 726 // satp will be dealyed several cycles from writing, but csr.priv won't 727 // so inside mmu, these two signals should be divided 728 def base_connect(sfence: SfenceBundle, csr: TlbCsrBundle, satp: TlbSatpBundle) = { 729 this.sfence <> sfence 730 this.csr <> csr 731 this.csr.satp := satp 732 } 733} 734 735class TlbRefilltoMemIO()(implicit p: Parameters) extends TlbBundle { 736 val valid = Bool() 737 val memidx = new MemBlockidxBundle 738} 739 740class TlbIO(Width: Int, nRespDups: Int = 1, q: TLBParameters)(implicit p: Parameters) extends 741 MMUIOBaseBundle { 742 val hartId = Input(UInt(hartIdLen.W)) 743 val requestor = Vec(Width, Flipped(new TlbRequestIO(nRespDups))) 744 val flushPipe = Vec(Width, Input(Bool())) 745 val redirect = Flipped(ValidIO(new Redirect)) // flush the signal need_gpa in tlb 746 val ptw = new TlbPtwIOwithMemIdx(Width) 747 val refill_to_mem = Output(new TlbRefilltoMemIO()) 748 val replace = if (q.outReplace) Flipped(new TlbReplaceIO(Width, q)) else null 749 val pmp = Vec(Width, ValidIO(new PMPReqBundle())) 750 val tlbreplay = Vec(Width, Output(Bool())) 751} 752 753class VectorTlbPtwIO(Width: Int)(implicit p: Parameters) extends TlbBundle { 754 val req = Vec(Width, DecoupledIO(new PtwReqwithMemIdx())) 755 val resp = Flipped(DecoupledIO(new Bundle { 756 val data = new PtwRespS2withMemIdx 757 val vector = Output(Vec(Width, Bool())) 758 val getGpa = Output(Vec(Width, Bool())) 759 })) 760 761 def connect(normal: TlbPtwIOwithMemIdx): Unit = { 762 req <> normal.req 763 resp.ready := normal.resp.ready 764 normal.resp.bits := resp.bits.data 765 normal.resp.valid := resp.valid 766 } 767} 768 769/**************************** L2TLB *************************************/ 770abstract class PtwBundle(implicit p: Parameters) extends XSBundle with HasPtwConst 771abstract class PtwModule(outer: L2TLB) extends LazyModuleImp(outer) 772 with HasXSParameter with HasPtwConst 773 774class PteBundle(implicit p: Parameters) extends PtwBundle{ 775 val reserved = UInt(pteResLen.W) 776 val ppn_high = UInt(ppnHignLen.W) 777 val ppn = UInt(ppnLen.W) 778 val rsw = UInt(2.W) 779 val perm = new Bundle { 780 val d = Bool() 781 val a = Bool() 782 val g = Bool() 783 val u = Bool() 784 val x = Bool() 785 val w = Bool() 786 val r = Bool() 787 val v = Bool() 788 } 789 790 def unaligned(level: UInt) = { 791 isLeaf() && !(level === 2.U || 792 level === 1.U && ppn(vpnnLen-1, 0) === 0.U || 793 level === 0.U && ppn(vpnnLen*2-1, 0) === 0.U) 794 } 795 796 def isPf(level: UInt) = { 797 !perm.v || (!perm.r && perm.w) || unaligned(level) 798 } 799 800 // paddr of Xiangshan is 36 bits but ppn of sv39 is 44 bits 801 // access fault will be raised when ppn >> ppnLen is not zero 802 def isAf() = { 803 !(ppn_high === 0.U) 804 } 805 806 def isLeaf() = { 807 perm.r || perm.x || perm.w 808 } 809 810 def getPerm() = { 811 val pm = Wire(new PtePermBundle) 812 pm.d := perm.d 813 pm.a := perm.a 814 pm.g := perm.g 815 pm.u := perm.u 816 pm.x := perm.x 817 pm.w := perm.w 818 pm.r := perm.r 819 pm 820 } 821 822 override def toPrintable: Printable = { 823 p"ppn:0x${Hexadecimal(ppn)} perm:b${Binary(perm.asUInt)}" 824 } 825} 826 827class PtwEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwBundle { 828 val tag = UInt(tagLen.W) 829 val asid = UInt(asidLen.W) 830 val vmid = if (HasHExtension) Some(UInt(vmidLen.W)) else None 831 val ppn = UInt(ppnLen.W) 832 val perm = if (hasPerm) Some(new PtePermBundle) else None 833 val level = if (hasLevel) Some(UInt(log2Up(Level).W)) else None 834 val prefetch = Bool() 835 val v = Bool() 836 837 def is_normalentry(): Bool = { 838 if (!hasLevel) true.B 839 else level.get === 2.U 840 } 841 842 def genPPN(vpn: UInt): UInt = { 843 if (!hasLevel) ppn 844 else MuxLookup(level.get, 0.U)(Seq( 845 0.U -> Cat(ppn(ppn.getWidth-1, vpnnLen*2), vpn(vpnnLen*2-1, 0)), 846 1.U -> Cat(ppn(ppn.getWidth-1, vpnnLen), vpn(vpnnLen-1, 0)), 847 2.U -> ppn) 848 ) 849 } 850 851 //s2xlate control whether compare vmid or not 852 def hit(vpn: UInt, asid: UInt, vasid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false, s2xlate: Bool) = { 853 require(vpn.getWidth == vpnLen) 854// require(this.asid.getWidth <= asid.getWidth) 855 val asid_value = Mux(s2xlate, vasid, asid) 856 val asid_hit = if (ignoreAsid) true.B else (this.asid === asid_value) 857 val vmid_hit = Mux(s2xlate, (this.vmid.getOrElse(0.U) === vmid), true.B) 858 if (allType) { 859 require(hasLevel) 860 val hit0 = tag(tagLen - 1, vpnnLen*2) === vpn(tagLen - 1, vpnnLen*2) 861 val hit1 = tag(vpnnLen*2 - 1, vpnnLen) === vpn(vpnnLen*2 - 1, vpnnLen) 862 val hit2 = tag(vpnnLen - 1, 0) === vpn(vpnnLen - 1, 0) 863 864 asid_hit && vmid_hit && Mux(level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0)) 865 } else if (hasLevel) { 866 val hit0 = tag(tagLen - 1, tagLen - vpnnLen - extendVpnnBits) === vpn(vpnLen - 1, vpnLen - vpnnLen - extendVpnnBits) 867 val hit1 = tag(tagLen - vpnnLen - extendVpnnBits - 1, tagLen - vpnnLen * 2 - extendVpnnBits) === vpn(vpnLen - vpnnLen - extendVpnnBits - 1, vpnLen - vpnnLen * 2 - extendVpnnBits) 868 869 asid_hit && vmid_hit && Mux(level.getOrElse(0.U) === 0.U, hit0, hit0 && hit1) 870 } else { 871 asid_hit && vmid_hit && tag === vpn(vpnLen - 1, vpnLen - tagLen) 872 } 873 } 874 875 def refill(vpn: UInt, asid: UInt, vmid: UInt, pte: UInt, level: UInt = 0.U, prefetch: Bool, valid: Bool = false.B) { 876 require(this.asid.getWidth <= asid.getWidth) // maybe equal is better, but ugly outside 877 878 tag := vpn(vpnLen - 1, vpnLen - tagLen) 879 ppn := pte.asTypeOf(new PteBundle().cloneType).ppn 880 perm.map(_ := pte.asTypeOf(new PteBundle().cloneType).perm) 881 this.asid := asid 882 this.vmid.map(_ := vmid) 883 this.prefetch := prefetch 884 this.v := valid 885 this.level.map(_ := level) 886 } 887 888 def genPtwEntry(vpn: UInt, asid: UInt, pte: UInt, level: UInt = 0.U, prefetch: Bool, valid: Bool = false.B) = { 889 val e = Wire(new PtwEntry(tagLen, hasPerm, hasLevel)) 890 e.refill(vpn, asid, pte, level, prefetch, valid) 891 e 892 } 893 894 895 896 override def toPrintable: Printable = { 897 // p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} perm:${perm}" 898 p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} " + 899 (if (hasPerm) p"perm:${perm.getOrElse(0.U.asTypeOf(new PtePermBundle))} " else p"") + 900 (if (hasLevel) p"level:${level.getOrElse(0.U)}" else p"") + 901 p"prefetch:${prefetch}" 902 } 903} 904 905class PtwSectorEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwEntry(tagLen, hasPerm, hasLevel) { 906 override val ppn = UInt(sectorppnLen.W) 907} 908 909class PtwMergeEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwSectorEntry(tagLen, hasPerm, hasLevel) { 910 val ppn_low = UInt(sectortlbwidth.W) 911 val af = Bool() 912 val pf = Bool() 913} 914 915class HptwMergeEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwMergeEntry(tagLen, hasPerm, hasLevel) 916 917class PtwEntries(num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle { 918 require(log2Up(num)==log2Down(num)) 919 // NOTE: hasPerm means that is leaf or not. 920 921 val tag = UInt(tagLen.W) 922 val asid = UInt(asidLen.W) 923 val vmid = if (HasHExtension) Some(UInt(vmidLen.W)) else None 924 val ppns = if (HasHExtension) Vec(num, UInt((vpnLen.max(ppnLen)).W)) else Vec(num, UInt(ppnLen.W)) 925 val vs = Vec(num, Bool()) 926 val perms = if (hasPerm) Some(Vec(num, new PtePermBundle)) else None 927 val prefetch = Bool() 928 // println(s"PtwEntries: tag:1*${tagLen} ppns:${num}*${ppnLen} vs:${num}*1") 929 // NOTE: vs is used for different usage: 930 // for l3, which store the leaf(leaves), vs is page fault or not. 931 // for l2, which shoule not store leaf, vs is valid or not, that will anticipate in hit check 932 // Because, l2 should not store leaf(no perm), it doesn't store perm. 933 // If l2 hit a leaf, the perm is still unavailble. Should still page walk. Complex but nothing helpful. 934 // TODO: divide vs into validVec and pfVec 935 // for l2: may valid but pf, so no need for page walk, return random pte with pf. 936 937 def tagClip(vpn: UInt) = { 938 require(vpn.getWidth == vpnLen) 939 vpn(vpnLen - 1, vpnLen - tagLen) 940 } 941 942 def sectorIdxClip(vpn: UInt, level: Int) = { 943 getVpnClip(vpn, level)(log2Up(num) - 1, 0) 944 } 945 946 def hit(vpn: UInt, asid: UInt, vasid: UInt, vmid:UInt, ignoreAsid: Boolean = false, s2xlate: Bool) = { 947 val asid_value = Mux(s2xlate, vasid, asid) 948 val asid_hit = if (ignoreAsid) true.B else (this.asid === asid_value) 949 val vmid_hit = Mux(s2xlate, this.vmid.getOrElse(0.U) === vmid, true.B) 950 asid_hit && vmid_hit && tag === tagClip(vpn) && (if (hasPerm) true.B else vs(sectorIdxClip(vpn, level))) 951 } 952 953 def genEntries(vpn: UInt, asid: UInt, vmid: UInt, data: UInt, levelUInt: UInt, prefetch: Bool) = { 954 require((data.getWidth / XLEN) == num, 955 s"input data length must be multiple of pte length: data.length:${data.getWidth} num:${num}") 956 957 val ps = Wire(new PtwEntries(num, tagLen, level, hasPerm)) 958 ps.tag := tagClip(vpn) 959 ps.asid := asid 960 ps.vmid.map(_ := vmid) 961 ps.prefetch := prefetch 962 for (i <- 0 until num) { 963 val pte = data((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle) 964 ps.ppns(i) := pte.ppn 965 ps.vs(i) := !pte.isPf(levelUInt) && (if (hasPerm) pte.isLeaf() else !pte.isLeaf()) 966 ps.perms.map(_(i) := pte.perm) 967 } 968 ps 969 } 970 971 override def toPrintable: Printable = { 972 // require(num == 4, "if num is not 4, please comment this toPrintable") 973 // NOTE: if num is not 4, please comment this toPrintable 974 val permsInner = perms.getOrElse(0.U.asTypeOf(Vec(num, new PtePermBundle))) 975 p"asid: ${Hexadecimal(asid)} tag:0x${Hexadecimal(tag)} ppns:${printVec(ppns)} vs:${Binary(vs.asUInt)} " + 976 (if (hasPerm) p"perms:${printVec(permsInner)}" else p"") 977 } 978} 979 980class PTWEntriesWithEcc(eccCode: Code, num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle { 981 val entries = new PtwEntries(num, tagLen, level, hasPerm) 982 983 val ecc_block = XLEN 984 val ecc_info = get_ecc_info() 985 val ecc = if (l2tlbParams.enablePTWECC) Some(UInt(ecc_info._1.W)) else None 986 987 def get_ecc_info(): (Int, Int, Int, Int) = { 988 val eccBits_per = eccCode.width(ecc_block) - ecc_block 989 990 val data_length = entries.getWidth 991 val data_align_num = data_length / ecc_block 992 val data_not_align = (data_length % ecc_block) != 0 // ugly code 993 val data_unalign_length = data_length - data_align_num * ecc_block 994 val eccBits_unalign = eccCode.width(data_unalign_length) - data_unalign_length 995 996 val eccBits = eccBits_per * data_align_num + eccBits_unalign 997 (eccBits, eccBits_per, data_align_num, data_unalign_length) 998 } 999 1000 def encode() = { 1001 val data = entries.asUInt 1002 val ecc_slices = Wire(Vec(ecc_info._3, UInt(ecc_info._2.W))) 1003 for (i <- 0 until ecc_info._3) { 1004 ecc_slices(i) := eccCode.encode(data((i+1)*ecc_block-1, i*ecc_block)) >> ecc_block 1005 } 1006 if (ecc_info._4 != 0) { 1007 val ecc_unaligned = eccCode.encode(data(data.getWidth-1, ecc_info._3*ecc_block)) >> ecc_info._4 1008 ecc.map(_ := Cat(ecc_unaligned, ecc_slices.asUInt)) 1009 } else { ecc.map(_ := ecc_slices.asUInt)} 1010 } 1011 1012 def decode(): Bool = { 1013 val data = entries.asUInt 1014 val res = Wire(Vec(ecc_info._3 + 1, Bool())) 1015 for (i <- 0 until ecc_info._3) { 1016 res(i) := {if (ecc_info._2 != 0) eccCode.decode(Cat(ecc.get((i+1)*ecc_info._2-1, i*ecc_info._2), data((i+1)*ecc_block-1, i*ecc_block))).error else false.B} 1017 } 1018 if (ecc_info._2 != 0 && ecc_info._4 != 0) { 1019 res(ecc_info._3) := eccCode.decode( 1020 Cat(ecc.get(ecc_info._1-1, ecc_info._2*ecc_info._3), data(data.getWidth-1, ecc_info._3*ecc_block))).error 1021 } else { res(ecc_info._3) := false.B } 1022 1023 Cat(res).orR 1024 } 1025 1026 def gen(vpn: UInt, asid: UInt, vmid: UInt, data: UInt, levelUInt: UInt, prefetch: Bool) = { 1027 this.entries := entries.genEntries(vpn, asid, vmid, data, levelUInt, prefetch) 1028 this.encode() 1029 } 1030} 1031 1032class PtwReq(implicit p: Parameters) extends PtwBundle { 1033 val vpn = UInt(vpnLen.W) //vpn or gvpn 1034 val s2xlate = UInt(2.W) 1035 def hasS2xlate(): Bool = { 1036 this.s2xlate =/= noS2xlate 1037 } 1038 def isOnlyStage2(): Bool = { 1039 this.s2xlate === onlyStage2 1040 } 1041 override def toPrintable: Printable = { 1042 p"vpn:0x${Hexadecimal(vpn)}" 1043 } 1044} 1045 1046class PtwReqwithMemIdx(implicit p: Parameters) extends PtwReq { 1047 val memidx = new MemBlockidxBundle 1048 val getGpa = Bool() // this req is to get gpa when having guest page fault 1049} 1050 1051class PtwResp(implicit p: Parameters) extends PtwBundle { 1052 val entry = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true) 1053 val pf = Bool() 1054 val af = Bool() 1055 1056 def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, asid: UInt) = { 1057 this.entry.level.map(_ := level) 1058 this.entry.tag := vpn 1059 this.entry.perm.map(_ := pte.getPerm()) 1060 this.entry.ppn := pte.ppn 1061 this.entry.prefetch := DontCare 1062 this.entry.asid := asid 1063 this.entry.v := !pf 1064 this.pf := pf 1065 this.af := af 1066 } 1067 1068 override def toPrintable: Printable = { 1069 p"entry:${entry} pf:${pf} af:${af}" 1070 } 1071} 1072 1073class HptwResp(implicit p: Parameters) extends PtwBundle { 1074 val entry = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true) 1075 val gpf = Bool() 1076 val gaf = Bool() 1077 1078 def apply(gpf: Bool, gaf: Bool, level: UInt, pte: PteBundle, vpn: UInt, vmid: UInt) = { 1079 this.entry.level.map(_ := level) 1080 this.entry.tag := vpn 1081 this.entry.perm.map(_ := pte.getPerm()) 1082 this.entry.ppn := pte.ppn 1083 this.entry.prefetch := DontCare 1084 this.entry.asid := DontCare 1085 this.entry.vmid.map(_ := vmid) 1086 this.entry.v := !gpf 1087 this.gpf := gpf 1088 this.gaf := gaf 1089 } 1090 1091 def genPPNS2(vpn: UInt): UInt = { 1092 MuxLookup(entry.level.get, 0.U)(Seq( 1093 0.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, vpnnLen * 2), vpn(vpnnLen * 2 - 1, 0)), 1094 1.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, vpnnLen), vpn(vpnnLen - 1, 0)), 1095 2.U -> Cat(entry.ppn(entry.ppn.getWidth - 1, 0)) 1096 )) 1097 } 1098 1099 def hit(gvpn: UInt, vmid: UInt): Bool = { 1100 val vmid_hit = this.entry.vmid.getOrElse(0.U) === vmid 1101 val hit0 = entry.tag(vpnLen - 1, vpnnLen * 2) === gvpn(vpnLen - 1, vpnnLen * 2) 1102 val hit1 = entry.tag(vpnnLen * 2 - 1, vpnnLen) === gvpn(vpnnLen * 2 - 1, vpnnLen) 1103 val hit2 = entry.tag(vpnnLen - 1, 0) === gvpn(vpnnLen - 1, 0) 1104 vmid_hit && Mux(entry.level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(entry.level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0)) 1105 } 1106} 1107 1108class PtwResptomerge (implicit p: Parameters) extends PtwBundle { 1109 val entry = UInt(blockBits.W) 1110 val vpn = UInt(vpnLen.W) 1111 val level = UInt(log2Up(Level).W) 1112 val pf = Bool() 1113 val af = Bool() 1114 val asid = UInt(asidLen.W) 1115 1116 def apply(pf: Bool, af: Bool, level: UInt, pte: UInt, vpn: UInt, asid: UInt) = { 1117 this.entry := pte 1118 this.pf := pf 1119 this.af := af 1120 this.level := level 1121 this.vpn := vpn 1122 this.asid := asid 1123 } 1124 1125 override def toPrintable: Printable = { 1126 p"entry:${entry} pf:${pf} af:${af}" 1127 } 1128} 1129 1130class PtwRespwithMemIdx(implicit p: Parameters) extends PtwResp { 1131 val memidx = new MemBlockidxBundle 1132} 1133 1134class PtwSectorRespwithMemIdx(implicit p: Parameters) extends PtwSectorResp { 1135 val memidx = new MemBlockidxBundle 1136} 1137 1138class PtwSectorResp(implicit p: Parameters) extends PtwBundle { 1139 val entry = new PtwSectorEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true) 1140 val addr_low = UInt(sectortlbwidth.W) 1141 val ppn_low = Vec(tlbcontiguous, UInt(sectortlbwidth.W)) 1142 val valididx = Vec(tlbcontiguous, Bool()) 1143 val pteidx = Vec(tlbcontiguous, Bool()) 1144 val pf = Bool() 1145 val af = Bool() 1146 1147 1148 def genPPN(vpn: UInt): UInt = { 1149 MuxLookup(entry.level.get, 0.U)(Seq( 1150 0.U -> Cat(entry.ppn(entry.ppn.getWidth-1, vpnnLen * 2 - sectortlbwidth), vpn(vpnnLen*2-1, 0)), 1151 1.U -> Cat(entry.ppn(entry.ppn.getWidth-1, vpnnLen - sectortlbwidth), vpn(vpnnLen-1, 0)), 1152 2.U -> Cat(entry.ppn(entry.ppn.getWidth-1, 0), ppn_low(vpn(sectortlbwidth - 1, 0)))) 1153 ) 1154 } 1155 1156 def hit(vpn: UInt, asid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false, s2xlate: Bool): Bool = { 1157 require(vpn.getWidth == vpnLen) 1158 // require(this.asid.getWidth <= asid.getWidth) 1159 val asid_hit = if (ignoreAsid) true.B else (this.entry.asid === asid) 1160 val vmid_hit = Mux(s2xlate, this.entry.vmid.getOrElse(0.U) === vmid, true.B) 1161 if (allType) { 1162 val hit0 = entry.tag(sectorvpnLen - 1, vpnnLen * 2 - sectortlbwidth) === vpn(vpnLen - 1, vpnnLen * 2) 1163 val hit1 = entry.tag(vpnnLen * 2 - sectortlbwidth - 1, vpnnLen - sectortlbwidth) === vpn(vpnnLen * 2 - 1, vpnnLen) 1164 val hit2 = entry.tag(vpnnLen - sectortlbwidth - 1, 0) === vpn(vpnnLen - 1, sectortlbwidth) 1165 val addr_low_hit = valididx(vpn(sectortlbwidth - 1, 0)) 1166 1167 asid_hit && vmid_hit && Mux(entry.level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(entry.level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0)) && addr_low_hit 1168 } else { 1169 val hit0 = entry.tag(sectorvpnLen - 1, sectorvpnLen - vpnnLen) === vpn(vpnLen - 1, vpnLen - vpnnLen) 1170 val hit1 = entry.tag(sectorvpnLen - vpnnLen - 1, sectorvpnLen - vpnnLen * 2) === vpn(vpnLen - vpnnLen - 1, vpnLen - vpnnLen * 2) 1171 val addr_low_hit = valididx(vpn(sectortlbwidth - 1, 0)) 1172 1173 asid_hit && vmid_hit && Mux(entry.level.getOrElse(0.U) === 0.U, hit0, hit0 && hit1) && addr_low_hit 1174 } 1175 } 1176} 1177 1178class PtwMergeResp(implicit p: Parameters) extends PtwBundle { 1179 val entry = Vec(tlbcontiguous, new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 1180 val pteidx = Vec(tlbcontiguous, Bool()) 1181 val not_super = Bool() 1182 1183 def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, asid: UInt, vmid:UInt, addr_low : UInt, not_super : Boolean = true) = { 1184 assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 1185 1186 val ptw_resp = Wire(new PtwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 1187 ptw_resp.ppn := pte.ppn(ppnLen - 1, sectortlbwidth) 1188 ptw_resp.ppn_low := pte.ppn(sectortlbwidth - 1, 0) 1189 ptw_resp.level.map(_ := level) 1190 ptw_resp.perm.map(_ := pte.getPerm()) 1191 ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth) 1192 ptw_resp.pf := pf 1193 ptw_resp.af := af 1194 ptw_resp.v := !pf 1195 ptw_resp.prefetch := DontCare 1196 ptw_resp.asid := asid 1197 ptw_resp.vmid.map(_ := vmid) 1198 this.pteidx := UIntToOH(addr_low).asBools 1199 this.not_super := not_super.B 1200 1201 1202 for (i <- 0 until tlbcontiguous) { 1203 this.entry(i) := ptw_resp 1204 } 1205 } 1206 1207 def genPPN(): UInt = { 1208 val idx = OHToUInt(pteidx) 1209 val tag = Cat(entry(idx).tag, idx(sectortlbwidth - 1, 0)) 1210 MuxLookup(entry(idx).level.get, 0.U)(Seq( 1211 0.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen * 2 - sectortlbwidth), tag(vpnnLen * 2 - 1, 0)), 1212 1.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen - sectortlbwidth), tag(vpnnLen - 1, 0)), 1213 2.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, 0), entry(idx).ppn_low)) 1214 ) 1215 } 1216} 1217 1218class HptwMergeResp(implicit p: Parameters) extends PtwBundle { 1219 val entry = Vec(tlbcontiguous, new HptwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 1220 val pteidx = Vec(tlbcontiguous, Bool()) 1221 val not_super = Bool() 1222 1223 def genPPN(): UInt = { 1224 val idx = OHToUInt(pteidx) 1225 MuxLookup(entry(idx).level.get, 0.U)(Seq( 1226 0.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen * 2 - sectortlbwidth), entry(idx).tag(vpnnLen * 2 - 1, 0)), 1227 1.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, vpnnLen - sectortlbwidth), entry(idx).tag(vpnnLen - 1, 0)), 1228 2.U -> Cat(entry(idx).ppn(entry(idx).ppn.getWidth - 1, 0), entry(idx).ppn_low)) 1229 ) 1230 } 1231 1232 def isAf(): Bool = { 1233 val idx = OHToUInt(pteidx) 1234 entry(idx).af 1235 } 1236 1237 def isPf(): Bool = { 1238 val idx = OHToUInt(pteidx) 1239 entry(idx).pf 1240 } 1241 1242 def MergeRespToPte(): PteBundle = { 1243 val idx = OHToUInt(pteidx) 1244 val resp = Wire(new PteBundle()) 1245 resp.ppn := Cat(entry(idx).ppn, entry(idx).ppn_low) 1246 resp.perm := entry(idx).perm.getOrElse(0.U) 1247 resp 1248 } 1249 1250 def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, vmid: UInt, addr_low: UInt, not_super: Boolean = true) = { 1251 assert(tlbcontiguous == 8, "Only support tlbcontiguous = 8!") 1252 1253 val ptw_resp = Wire(new HptwMergeEntry(tagLen = sectorvpnLen, hasPerm = true, hasLevel = true)) 1254 ptw_resp.ppn := pte.ppn(ppnLen - 1, sectortlbwidth) 1255 ptw_resp.ppn_low := pte.ppn(sectortlbwidth - 1, 0) 1256 ptw_resp.level.map(_ := level) 1257 ptw_resp.perm.map(_ := pte.getPerm()) 1258 ptw_resp.tag := vpn(vpnLen - 1, sectortlbwidth) 1259 ptw_resp.pf := pf 1260 ptw_resp.af := af 1261 ptw_resp.v := !pf 1262 ptw_resp.prefetch := DontCare 1263 ptw_resp.vmid.map(_ := vmid) 1264 this.pteidx := UIntToOH(addr_low).asBools 1265 this.not_super := not_super.B 1266 1267 1268 for (i <- 0 until tlbcontiguous) { 1269 this.entry(i) := ptw_resp 1270 } 1271 } 1272} 1273 1274class PtwRespS2(implicit p: Parameters) extends PtwBundle { 1275 val s2xlate = UInt(2.W) 1276 val s1 = new PtwSectorResp() 1277 val s2 = new HptwResp() 1278 1279 def hasS2xlate(): Bool = { 1280 this.s2xlate =/= noS2xlate 1281 } 1282 1283 def isOnlyStage2(): Bool = { 1284 this.s2xlate === onlyStage2 1285 } 1286 1287 def getVpn: UInt = { 1288 val s1_tag = Cat(s1.entry.tag, s1.addr_low) 1289 val s2_tag = s2.entry.tag 1290 Mux(s2xlate === onlyStage2, s2_tag, s1_tag) 1291 } 1292 1293 def hit(vpn: UInt, asid: UInt, vasid: UInt, vmid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false): Bool = { 1294 val noS2_hit = s1.hit(vpn, Mux(this.hasS2xlate(), vasid, asid), vmid, allType, ignoreAsid, this.hasS2xlate) 1295 val onlyS2_hit = s2.hit(vpn, vmid) 1296 // allstage and onlys1 hit 1297 val s1vpn = Cat(s1.entry.tag, s1.addr_low) 1298 val level = s1.entry.level.getOrElse(0.U) max s2.entry.level.getOrElse(0.U) 1299 val hit0 = vpn(vpnnLen * 3 - 1, vpnnLen * 2) === s1vpn(vpnnLen * 3 - 1, vpnnLen * 2) 1300 val hit1 = vpn(vpnnLen * 2 - 1, vpnnLen) === s1vpn(vpnnLen * 2 - 1, vpnnLen) 1301 val hit2 = vpn(vpnnLen - 1, 0) === s1vpn(vpnnLen - 1, 0) 1302 val vpn_hit = Mux(level === 2.U, hit2 && hit1 && hit0, Mux(level === 1.U, hit1 && hit0, hit0)) 1303 val vmid_hit = Mux(this.s2xlate === allStage, s2.entry.vmid.getOrElse(0.U) === vmid, true.B) 1304 val vasid_hit = if (ignoreAsid) true.B else (s1.entry.asid === vasid) 1305 val all_onlyS1_hit = vpn_hit && vmid_hit && vasid_hit 1306 Mux(this.s2xlate === noS2xlate, noS2_hit, 1307 Mux(this.s2xlate === onlyStage2, onlyS2_hit, all_onlyS1_hit)) 1308 } 1309} 1310 1311class PtwRespS2withMemIdx(implicit p: Parameters) extends PtwRespS2 { 1312 val memidx = new MemBlockidxBundle() 1313 val getGpa = Bool() // this req is to get gpa when having guest page fault 1314} 1315 1316class L2TLBIO(implicit p: Parameters) extends PtwBundle { 1317 val hartId = Input(UInt(hartIdLen.W)) 1318 val tlb = Vec(PtwWidth, Flipped(new TlbPtwIO)) 1319 val sfence = Input(new SfenceBundle) 1320 val csr = new Bundle { 1321 val tlb = Input(new TlbCsrBundle) 1322 val distribute_csr = Flipped(new DistributedCSRIO) 1323 } 1324} 1325 1326class L2TlbMemReqBundle(implicit p: Parameters) extends PtwBundle { 1327 val addr = UInt(PAddrBits.W) 1328 val id = UInt(bMemID.W) 1329 val hptw_bypassed = Bool() 1330} 1331 1332class L2TlbInnerBundle(implicit p: Parameters) extends PtwReq { 1333 val source = UInt(bSourceWidth.W) 1334} 1335 1336class L2TlbWithHptwIdBundle(implicit p: Parameters) extends PtwBundle { 1337 val req_info = new L2TlbInnerBundle 1338 val isHptwReq = Bool() 1339 val isLLptw = Bool() 1340 val hptwId = UInt(log2Up(l2tlbParams.llptwsize).W) 1341} 1342 1343object ValidHoldBypass{ 1344 def apply(infire: Bool, outfire: Bool, flush: Bool = false.B) = { 1345 val valid = RegInit(false.B) 1346 when (infire) { valid := true.B } 1347 when (outfire) { valid := false.B } // ATTENTION: order different with ValidHold 1348 when (flush) { valid := false.B } // NOTE: the flush will flush in & out, is that ok? 1349 valid || infire 1350 } 1351} 1352 1353class L1TlbDB(implicit p: Parameters) extends TlbBundle { 1354 val vpn = UInt(vpnLen.W) 1355} 1356 1357class PageCacheDB(implicit p: Parameters) extends TlbBundle with HasPtwConst { 1358 val vpn = UInt(vpnLen.W) 1359 val source = UInt(bSourceWidth.W) 1360 val bypassed = Bool() 1361 val is_first = Bool() 1362 val prefetched = Bool() 1363 val prefetch = Bool() 1364 val l2Hit = Bool() 1365 val l1Hit = Bool() 1366 val hit = Bool() 1367} 1368 1369class PTWDB(implicit p: Parameters) extends TlbBundle with HasPtwConst { 1370 val vpn = UInt(vpnLen.W) 1371 val source = UInt(bSourceWidth.W) 1372} 1373 1374class L2TlbPrefetchDB(implicit p: Parameters) extends TlbBundle { 1375 val vpn = UInt(vpnLen.W) 1376} 1377 1378class L2TlbMissQueueDB(implicit p: Parameters) extends TlbBundle { 1379 val vpn = UInt(vpnLen.W) 1380} 1381