xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/MMUBundle.scala (revision 67ba96b4871c459c09df20e3052738174021a830)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache.mmu
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import xiangshan._
23import utils._
24import utility._
25import xiangshan.backend.rob.RobPtr
26import xiangshan.backend.fu.util.HasCSRConst
27import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
28import freechips.rocketchip.tilelink._
29import xiangshan.backend.fu.{PMPReqBundle, PMPConfig}
30import xiangshan.backend.fu.PMPBundle
31
32
33abstract class TlbBundle(implicit p: Parameters) extends XSBundle with HasTlbConst
34abstract class TlbModule(implicit p: Parameters) extends XSModule with HasTlbConst
35
36class VaBundle(implicit p: Parameters) extends TlbBundle {
37  val vpn  = UInt(vpnLen.W)
38  val off  = UInt(offLen.W)
39}
40
41class PtePermBundle(implicit p: Parameters) extends TlbBundle {
42  val d = Bool()
43  val a = Bool()
44  val g = Bool()
45  val u = Bool()
46  val x = Bool()
47  val w = Bool()
48  val r = Bool()
49
50  override def toPrintable: Printable = {
51    p"d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r}"// +
52    //(if(hasV) (p"v:${v}") else p"")
53  }
54}
55
56class TlbPMBundle(implicit p: Parameters) extends TlbBundle {
57  val r = Bool()
58  val w = Bool()
59  val x = Bool()
60  val c = Bool()
61  val atomic = Bool()
62
63  def assign_ap(pm: PMPConfig) = {
64    r := pm.r
65    w := pm.w
66    x := pm.x
67    c := pm.c
68    atomic := pm.atomic
69  }
70}
71
72class TlbPermBundle(implicit p: Parameters) extends TlbBundle {
73  val pf = Bool() // NOTE: if this is true, just raise pf
74  val af = Bool() // NOTE: if this is true, just raise af
75  // pagetable perm (software defined)
76  val d = Bool()
77  val a = Bool()
78  val g = Bool()
79  val u = Bool()
80  val x = Bool()
81  val w = Bool()
82  val r = Bool()
83
84  val pm = new TlbPMBundle
85
86  def apply(item: PtwResp, pm: PMPConfig) = {
87    val ptePerm = item.entry.perm.get.asTypeOf(new PtePermBundle().cloneType)
88    this.pf := item.pf
89    this.af := item.af
90    this.d := ptePerm.d
91    this.a := ptePerm.a
92    this.g := ptePerm.g
93    this.u := ptePerm.u
94    this.x := ptePerm.x
95    this.w := ptePerm.w
96    this.r := ptePerm.r
97
98    this.pm.assign_ap(pm)
99    this
100  }
101  override def toPrintable: Printable = {
102    p"pf:${pf} af:${af} d:${d} a:${a} g:${g} u:${u} x:${x} w:${w} r:${r} " +
103    p"pm:${pm}"
104  }
105}
106
107// multi-read && single-write
108// input is data, output is hot-code(not one-hot)
109class CAMTemplate[T <: Data](val gen: T, val set: Int, val readWidth: Int)(implicit p: Parameters) extends TlbModule {
110  val io = IO(new Bundle {
111    val r = new Bundle {
112      val req = Input(Vec(readWidth, gen))
113      val resp = Output(Vec(readWidth, Vec(set, Bool())))
114    }
115    val w = Input(new Bundle {
116      val valid = Bool()
117      val bits = new Bundle {
118        val index = UInt(log2Up(set).W)
119        val data = gen
120      }
121    })
122  })
123
124  val wordType = UInt(gen.getWidth.W)
125  val array = Reg(Vec(set, wordType))
126
127  io.r.resp.zipWithIndex.map{ case (a,i) =>
128    a := array.map(io.r.req(i).asUInt === _)
129  }
130
131  when (io.w.valid) {
132    array(io.w.bits.index) := io.w.bits.data.asUInt
133  }
134}
135
136class TlbEntry(pageNormal: Boolean, pageSuper: Boolean)(implicit p: Parameters) extends TlbBundle {
137  require(pageNormal || pageSuper)
138
139  val tag = if (!pageNormal) UInt((vpnLen - vpnnLen).W)
140            else UInt(vpnLen.W)
141  val asid = UInt(asidLen.W)
142  val level = if (!pageNormal) Some(UInt(1.W))
143              else if (!pageSuper) None
144              else Some(UInt(2.W))
145  val ppn = if (!pageNormal) UInt((ppnLen - vpnnLen).W)
146            else UInt(ppnLen.W)
147  val perm = new TlbPermBundle
148
149  /** level usage:
150   *  !PageSuper: page is only normal, level is None, match all the tag
151   *  !PageNormal: page is only super, level is a Bool(), match high 9*2 parts
152   *  bits0  0: need mid 9bits
153   *         1: no need mid 9bits
154   *  PageSuper && PageNormal: page hold all the three type,
155   *  bits0  0: need low 9bits
156   *  bits1  0: need mid 9bits
157   */
158
159  def hit(vpn: UInt, asid: UInt, nSets: Int = 1, ignoreAsid: Boolean = false): Bool = {
160    val asid_hit = if (ignoreAsid) true.B else (this.asid === asid)
161
162    // NOTE: for timing, dont care low set index bits at hit check
163    //       do not need store the low bits actually
164    if (!pageSuper) asid_hit && drop_set_equal(vpn, tag, nSets)
165    else if (!pageNormal) {
166      val tag_match_hi = tag(vpnnLen*2-1, vpnnLen) === vpn(vpnnLen*3-1, vpnnLen*2)
167      val tag_match_mi = tag(vpnnLen-1, 0) === vpn(vpnnLen*2-1, vpnnLen)
168      val tag_match = tag_match_hi && (level.get.asBool() || tag_match_mi)
169      asid_hit && tag_match
170    }
171    else {
172      val tmp_level = level.get
173      val tag_match_hi = tag(vpnnLen*3-1, vpnnLen*2) === vpn(vpnnLen*3-1, vpnnLen*2)
174      val tag_match_mi = tag(vpnnLen*2-1, vpnnLen) === vpn(vpnnLen*2-1, vpnnLen)
175      val tag_match_lo = tag(vpnnLen-1, 0) === vpn(vpnnLen-1, 0) // if pageNormal is false, this will always be false
176      val tag_match = tag_match_hi && (tmp_level(1) || tag_match_mi) && (tmp_level(0) || tag_match_lo)
177      asid_hit && tag_match
178    }
179  }
180
181  def apply(item: PtwResp, asid: UInt, pm: PMPConfig): TlbEntry = {
182    this.tag := {if (pageNormal) item.entry.tag else item.entry.tag(vpnLen-1, vpnnLen)}
183    this.asid := asid
184    val inner_level = item.entry.level.getOrElse(0.U)
185    this.level.map(_ := { if (pageNormal && pageSuper) MuxLookup(inner_level, 0.U, Seq(
186                                                        0.U -> 3.U,
187                                                        1.U -> 1.U,
188                                                        2.U -> 0.U ))
189                          else if (pageSuper) ~inner_level(0)
190                          else 0.U })
191    this.ppn := { if (!pageNormal) item.entry.ppn(ppnLen-1, vpnnLen)
192                  else item.entry.ppn }
193    this.perm.apply(item, pm)
194    this
195  }
196
197  // 4KB is normal entry, 2MB/1GB is considered as super entry
198  def is_normalentry(): Bool = {
199    if (!pageSuper) { true.B }
200    else if (!pageNormal) { false.B }
201    else { level.get === 0.U }
202  }
203
204  def genPPN(saveLevel: Boolean = false, valid: Bool = false.B)(vpn: UInt) : UInt = {
205    val inner_level = level.getOrElse(0.U)
206    val ppn_res = if (!pageSuper) ppn
207      else if (!pageNormal) Cat(ppn(ppnLen-vpnnLen-1, vpnnLen),
208        Mux(inner_level(0), vpn(vpnnLen*2-1, vpnnLen), ppn(vpnnLen-1,0)),
209        vpn(vpnnLen-1, 0))
210      else Cat(ppn(ppnLen-1, vpnnLen*2),
211        Mux(inner_level(1), vpn(vpnnLen*2-1, vpnnLen), ppn(vpnnLen*2-1, vpnnLen)),
212        Mux(inner_level(0), vpn(vpnnLen-1, 0), ppn(vpnnLen-1, 0)))
213
214    if (saveLevel) Cat(ppn(ppn.getWidth-1, vpnnLen*2), RegEnable(ppn_res(vpnnLen*2-1, 0), valid))
215    else ppn_res
216  }
217
218  override def toPrintable: Printable = {
219    val inner_level = level.getOrElse(2.U)
220    p"asid: ${asid} level:${inner_level} vpn:${Hexadecimal(tag)} ppn:${Hexadecimal(ppn)} perm:${perm}"
221  }
222
223}
224
225object TlbCmd {
226  def read  = "b00".U
227  def write = "b01".U
228  def exec  = "b10".U
229
230  def atom_read  = "b100".U // lr
231  def atom_write = "b101".U // sc / amo
232
233  def apply() = UInt(3.W)
234  def isRead(a: UInt) = a(1,0)===read
235  def isWrite(a: UInt) = a(1,0)===write
236  def isExec(a: UInt) = a(1,0)===exec
237
238  def isAtom(a: UInt) = a(2)
239  def isAmo(a: UInt) = a===atom_write // NOTE: sc mixed
240}
241
242class TlbStorageIO(nSets: Int, nWays: Int, ports: Int, nDups: Int = 1)(implicit p: Parameters) extends MMUIOBaseBundle {
243  val r = new Bundle {
244    val req = Vec(ports, Flipped(DecoupledIO(new Bundle {
245      val vpn = Output(UInt(vpnLen.W))
246    })))
247    val resp = Vec(ports, ValidIO(new Bundle{
248      val hit = Output(Bool())
249      val ppn = Vec(nDups, Output(UInt(ppnLen.W)))
250      val perm = Vec(nDups, Output(new TlbPermBundle()))
251    }))
252  }
253  val w = Flipped(ValidIO(new Bundle {
254    val wayIdx = Output(UInt(log2Up(nWays).W))
255    val data = Output(new PtwResp)
256    val data_replenish = Output(new PMPConfig)
257  }))
258  val victim = new Bundle {
259    val out = ValidIO(Output(new Bundle {
260      val entry = new TlbEntry(pageNormal = true, pageSuper = false)
261    }))
262    val in = Flipped(ValidIO(Output(new Bundle {
263      val entry = new TlbEntry(pageNormal = true, pageSuper = false)
264    })))
265  }
266  val access = Vec(ports, new ReplaceAccessBundle(nSets, nWays))
267
268  def r_req_apply(valid: Bool, vpn: UInt, i: Int): Unit = {
269    this.r.req(i).valid := valid
270    this.r.req(i).bits.vpn := vpn
271  }
272
273  def r_resp_apply(i: Int) = {
274    (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm)
275  }
276
277  def w_apply(valid: Bool, wayIdx: UInt, data: PtwResp, data_replenish: PMPConfig): Unit = {
278    this.w.valid := valid
279    this.w.bits.wayIdx := wayIdx
280    this.w.bits.data := data
281    this.w.bits.data_replenish := data_replenish
282  }
283
284}
285
286class TlbStorageWrapperIO(ports: Int, q: TLBParameters, nDups: Int = 1)(implicit p: Parameters) extends MMUIOBaseBundle {
287  val r = new Bundle {
288    val req = Vec(ports, Flipped(DecoupledIO(new Bundle {
289      val vpn = Output(UInt(vpnLen.W))
290    })))
291    val resp = Vec(ports, ValidIO(new Bundle{
292      val hit = Output(Bool())
293      val ppn = Vec(nDups, Output(UInt(ppnLen.W)))
294      val perm = Vec(nDups, Output(new TlbPermBundle()))
295      // below are dirty code for timing optimization
296      val super_hit = Output(Bool())
297      val super_ppn = Output(UInt(ppnLen.W))
298      val spm = Output(new TlbPMBundle)
299    }))
300  }
301  val w = Flipped(ValidIO(new Bundle {
302    val data = Output(new PtwResp)
303    val data_replenish = Output(new PMPConfig)
304  }))
305  val replace = if (q.outReplace) Flipped(new TlbReplaceIO(ports, q)) else null
306
307  def r_req_apply(valid: Bool, vpn: UInt, i: Int): Unit = {
308    this.r.req(i).valid := valid
309    this.r.req(i).bits.vpn := vpn
310  }
311
312  def r_resp_apply(i: Int) = {
313    (this.r.resp(i).bits.hit, this.r.resp(i).bits.ppn, this.r.resp(i).bits.perm,
314    this.r.resp(i).bits.super_hit, this.r.resp(i).bits.super_ppn, this.r.resp(i).bits.spm)
315  }
316
317  def w_apply(valid: Bool, data: PtwResp, data_replenish: PMPConfig): Unit = {
318    this.w.valid := valid
319    this.w.bits.data := data
320    this.w.bits.data_replenish := data_replenish
321  }
322}
323
324class ReplaceAccessBundle(nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle {
325  val sets = Output(UInt(log2Up(nSets).W))
326  val touch_ways = ValidIO(Output(UInt(log2Up(nWays).W)))
327
328}
329
330class ReplaceIO(Width: Int, nSets: Int, nWays: Int)(implicit p: Parameters) extends TlbBundle {
331  val access = Vec(Width, Flipped(new ReplaceAccessBundle(nSets, nWays)))
332
333  val refillIdx = Output(UInt(log2Up(nWays).W))
334  val chosen_set = Flipped(Output(UInt(log2Up(nSets).W)))
335
336  def apply_sep(in: Seq[ReplaceIO], vpn: UInt): Unit = {
337    for ((ac_rep, ac_tlb) <- access.zip(in.map(a => a.access.map(b => b)).flatten)) {
338      ac_rep := ac_tlb
339    }
340    this.chosen_set := get_set_idx(vpn, nSets)
341    in.map(a => a.refillIdx := this.refillIdx)
342  }
343}
344
345class TlbReplaceIO(Width: Int, q: TLBParameters)(implicit p: Parameters) extends
346  TlbBundle {
347  val normalPage = new ReplaceIO(Width, q.normalNSets, q.normalNWays)
348  val superPage = new ReplaceIO(Width, q.superNSets, q.superNWays)
349
350  def apply_sep(in: Seq[TlbReplaceIO], vpn: UInt) = {
351    this.normalPage.apply_sep(in.map(_.normalPage), vpn)
352    this.superPage.apply_sep(in.map(_.superPage), vpn)
353  }
354
355}
356
357class TlbReq(implicit p: Parameters) extends TlbBundle {
358  val vaddr = Output(UInt(VAddrBits.W))
359  val cmd = Output(TlbCmd())
360  val size = Output(UInt(log2Ceil(log2Ceil(XLEN/8)+1).W))
361  val kill = Output(Bool()) // Use for blocked tlb that need sync with other module like icache
362  val debug = new Bundle {
363    val pc = Output(UInt(XLEN.W))
364    val robIdx = Output(new RobPtr)
365    val isFirstIssue = Output(Bool())
366  }
367
368  // Maybe Block req needs a kill: for itlb, itlb and icache may not sync, itlb should wait icache to go ahead
369  override def toPrintable: Printable = {
370    p"vaddr:0x${Hexadecimal(vaddr)} cmd:${cmd} kill:${kill} pc:0x${Hexadecimal(debug.pc)} robIdx:${debug.robIdx}"
371  }
372}
373
374class TlbExceptionBundle(implicit p: Parameters) extends TlbBundle {
375  val ld = Output(Bool())
376  val st = Output(Bool())
377  val instr = Output(Bool())
378}
379
380class TlbResp(nDups: Int = 1)(implicit p: Parameters) extends TlbBundle {
381  val paddr = Vec(nDups, Output(UInt(PAddrBits.W)))
382  val miss = Output(Bool())
383  val fast_miss = Output(Bool()) // without sram part for timing optimization
384  val excp = Vec(nDups, new Bundle {
385    val pf = new TlbExceptionBundle()
386    val af = new TlbExceptionBundle()
387  })
388  val static_pm = Output(Valid(Bool())) // valid for static, bits for mmio result from normal entries
389  val ptwBack = Output(Bool()) // when ptw back, wake up replay rs's state
390
391  override def toPrintable: Printable = {
392    p"paddr:0x${Hexadecimal(paddr(0))} miss:${miss} excp.pf: ld:${excp(0).pf.ld} st:${excp(0).pf.st} instr:${excp(0).pf.instr} ptwBack:${ptwBack}"
393  }
394}
395
396class TlbRequestIO(nRespDups: Int = 1)(implicit p: Parameters) extends TlbBundle {
397  val req = DecoupledIO(new TlbReq)
398  val req_kill = Output(Bool())
399  val resp = Flipped(DecoupledIO(new TlbResp(nRespDups)))
400}
401
402class TlbPtwIO(Width: Int = 1)(implicit p: Parameters) extends TlbBundle {
403  val req = Vec(Width, DecoupledIO(new PtwReq))
404  val resp = Flipped(DecoupledIO(new PtwResp))
405
406
407  override def toPrintable: Printable = {
408    p"req(0):${req(0).valid} ${req(0).ready} ${req(0).bits} | resp:${resp.valid} ${resp.ready} ${resp.bits}"
409  }
410}
411
412class MMUIOBaseBundle(implicit p: Parameters) extends TlbBundle {
413  val sfence = Input(new SfenceBundle)
414  val csr = Input(new TlbCsrBundle)
415
416  def base_connect(sfence: SfenceBundle, csr: TlbCsrBundle): Unit = {
417    this.sfence <> sfence
418    this.csr <> csr
419  }
420
421  // overwrite satp. write satp will cause flushpipe but csr.priv won't
422  // satp will be dealyed several cycles from writing, but csr.priv won't
423  // so inside mmu, these two signals should be divided
424  def base_connect(sfence: SfenceBundle, csr: TlbCsrBundle, satp: TlbSatpBundle) = {
425    this.sfence <> sfence
426    this.csr <> csr
427    this.csr.satp := satp
428  }
429}
430
431class TlbIO(Width: Int, nRespDups: Int = 1, q: TLBParameters)(implicit p: Parameters) extends
432  MMUIOBaseBundle {
433  val requestor = Vec(Width, Flipped(new TlbRequestIO(nRespDups)))
434  val flushPipe = Vec(Width, Input(Bool()))
435  val ptw = new TlbPtwIO(Width)
436  val ptw_replenish = Input(new PMPConfig())
437  val replace = if (q.outReplace) Flipped(new TlbReplaceIO(Width, q)) else null
438  val pmp = Vec(Width, ValidIO(new PMPReqBundle()))
439
440}
441
442class VectorTlbPtwIO(Width: Int)(implicit p: Parameters) extends TlbBundle {
443  val req = Vec(Width, DecoupledIO(new PtwReq))
444  val resp = Flipped(DecoupledIO(new Bundle {
445    val data = new PtwResp
446    val vector = Output(Vec(Width, Bool()))
447  }))
448
449  def connect(normal: TlbPtwIO): Unit = {
450    req <> normal.req
451    resp.ready := normal.resp.ready
452    normal.resp.bits := resp.bits.data
453    normal.resp.valid := resp.valid
454  }
455}
456
457/****************************  L2TLB  *************************************/
458abstract class PtwBundle(implicit p: Parameters) extends XSBundle with HasPtwConst
459abstract class PtwModule(outer: L2TLB) extends LazyModuleImp(outer)
460  with HasXSParameter with HasPtwConst
461
462class PteBundle(implicit p: Parameters) extends PtwBundle{
463  val reserved  = UInt(pteResLen.W)
464  val ppn  = UInt(ppnLen.W)
465  val rsw  = UInt(2.W)
466  val perm = new Bundle {
467    val d    = Bool()
468    val a    = Bool()
469    val g    = Bool()
470    val u    = Bool()
471    val x    = Bool()
472    val w    = Bool()
473    val r    = Bool()
474    val v    = Bool()
475  }
476
477  def unaligned(level: UInt) = {
478    isLeaf() && !(level === 2.U ||
479                  level === 1.U && ppn(vpnnLen-1,   0) === 0.U ||
480                  level === 0.U && ppn(vpnnLen*2-1, 0) === 0.U)
481  }
482
483  def isPf(level: UInt) = {
484    !perm.v || (!perm.r && perm.w) || unaligned(level)
485  }
486
487  def isLeaf() = {
488    perm.r || perm.x || perm.w
489  }
490
491  def getPerm() = {
492    val pm = Wire(new PtePermBundle)
493    pm.d := perm.d
494    pm.a := perm.a
495    pm.g := perm.g
496    pm.u := perm.u
497    pm.x := perm.x
498    pm.w := perm.w
499    pm.r := perm.r
500    pm
501  }
502
503  override def toPrintable: Printable = {
504    p"ppn:0x${Hexadecimal(ppn)} perm:b${Binary(perm.asUInt)}"
505  }
506}
507
508class PtwEntry(tagLen: Int, hasPerm: Boolean = false, hasLevel: Boolean = false)(implicit p: Parameters) extends PtwBundle {
509  val tag = UInt(tagLen.W)
510  val asid = UInt(asidLen.W)
511  val ppn = UInt(ppnLen.W)
512  val perm = if (hasPerm) Some(new PtePermBundle) else None
513  val level = if (hasLevel) Some(UInt(log2Up(Level).W)) else None
514  val prefetch = Bool()
515  val v = Bool()
516
517  def is_normalentry(): Bool = {
518    if (!hasLevel) true.B
519    else level.get === 2.U
520  }
521
522  def genPPN(vpn: UInt): UInt = {
523    if (!hasLevel) ppn
524    else MuxLookup(level.get, 0.U, Seq(
525          0.U -> Cat(ppn(ppn.getWidth-1, vpnnLen*2), vpn(vpnnLen*2-1, 0)),
526          1.U -> Cat(ppn(ppn.getWidth-1, vpnnLen), vpn(vpnnLen-1, 0)),
527          2.U -> ppn)
528    )
529  }
530
531  def hit(vpn: UInt, asid: UInt, allType: Boolean = false, ignoreAsid: Boolean = false) = {
532    require(vpn.getWidth == vpnLen)
533//    require(this.asid.getWidth <= asid.getWidth)
534    val asid_hit = if (ignoreAsid) true.B else (this.asid === asid)
535    if (allType) {
536      require(hasLevel)
537      val hit0 = tag(tagLen - 1,    vpnnLen*2) === vpn(tagLen - 1, vpnnLen*2)
538      val hit1 = tag(vpnnLen*2 - 1, vpnnLen)   === vpn(vpnnLen*2 - 1,  vpnnLen)
539      val hit2 = tag(vpnnLen - 1,     0)         === vpn(vpnnLen - 1, 0)
540
541      asid_hit && Mux(level.getOrElse(0.U) === 2.U, hit2 && hit1 && hit0, Mux(level.getOrElse(0.U) === 1.U, hit1 && hit0, hit0))
542    } else if (hasLevel) {
543      val hit0 = tag(tagLen - 1, tagLen - vpnnLen) === vpn(vpnLen - 1, vpnLen - vpnnLen)
544      val hit1 = tag(tagLen - vpnnLen - 1, tagLen - vpnnLen * 2) === vpn(vpnLen - vpnnLen - 1, vpnLen - vpnnLen * 2)
545
546      asid_hit && Mux(level.getOrElse(0.U) === 0.U, hit0, hit0 && hit1)
547    } else {
548      asid_hit && tag === vpn(vpnLen - 1, vpnLen - tagLen)
549    }
550  }
551
552  def refill(vpn: UInt, asid: UInt, pte: UInt, level: UInt = 0.U, prefetch: Bool, valid: Bool = false.B) {
553    require(this.asid.getWidth <= asid.getWidth) // maybe equal is better, but ugly outside
554
555    tag := vpn(vpnLen - 1, vpnLen - tagLen)
556    ppn := pte.asTypeOf(new PteBundle().cloneType).ppn
557    perm.map(_ := pte.asTypeOf(new PteBundle().cloneType).perm)
558    this.asid := asid
559    this.prefetch := prefetch
560    this.v := valid
561    this.level.map(_ := level)
562  }
563
564  def genPtwEntry(vpn: UInt, asid: UInt, pte: UInt, level: UInt = 0.U, prefetch: Bool, valid: Bool = false.B) = {
565    val e = Wire(new PtwEntry(tagLen, hasPerm, hasLevel))
566    e.refill(vpn, asid, pte, level, prefetch, valid)
567    e
568  }
569
570
571
572  override def toPrintable: Printable = {
573    // p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} perm:${perm}"
574    p"tag:0x${Hexadecimal(tag)} ppn:0x${Hexadecimal(ppn)} " +
575      (if (hasPerm) p"perm:${perm.getOrElse(0.U.asTypeOf(new PtePermBundle))} " else p"") +
576      (if (hasLevel) p"level:${level.getOrElse(0.U)}" else p"") +
577      p"prefetch:${prefetch}"
578  }
579}
580
581class PtwEntries(num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle {
582  require(log2Up(num)==log2Down(num))
583  // NOTE: hasPerm means that is leaf or not.
584
585  val tag  = UInt(tagLen.W)
586  val asid = UInt(asidLen.W)
587  val ppns = Vec(num, UInt(ppnLen.W))
588  val vs   = Vec(num, Bool())
589  val perms = if (hasPerm) Some(Vec(num, new PtePermBundle)) else None
590  val prefetch = Bool()
591  // println(s"PtwEntries: tag:1*${tagLen} ppns:${num}*${ppnLen} vs:${num}*1")
592  // NOTE: vs is used for different usage:
593  // for l3, which store the leaf(leaves), vs is page fault or not.
594  // for l2, which shoule not store leaf, vs is valid or not, that will anticipate in hit check
595  // Because, l2 should not store leaf(no perm), it doesn't store perm.
596  // If l2 hit a leaf, the perm is still unavailble. Should still page walk. Complex but nothing helpful.
597  // TODO: divide vs into validVec and pfVec
598  // for l2: may valid but pf, so no need for page walk, return random pte with pf.
599
600  def tagClip(vpn: UInt) = {
601    require(vpn.getWidth == vpnLen)
602    vpn(vpnLen - 1, vpnLen - tagLen)
603  }
604
605  def sectorIdxClip(vpn: UInt, level: Int) = {
606    getVpnClip(vpn, level)(log2Up(num) - 1, 0)
607  }
608
609  def hit(vpn: UInt, asid: UInt, ignoreAsid: Boolean = false) = {
610    val asid_hit = if (ignoreAsid) true.B else (this.asid === asid)
611    asid_hit && tag === tagClip(vpn) && (if (hasPerm) true.B else vs(sectorIdxClip(vpn, level)))
612  }
613
614  def genEntries(vpn: UInt, asid: UInt, data: UInt, levelUInt: UInt, prefetch: Bool) = {
615    require((data.getWidth / XLEN) == num,
616      s"input data length must be multiple of pte length: data.length:${data.getWidth} num:${num}")
617
618    val ps = Wire(new PtwEntries(num, tagLen, level, hasPerm))
619    ps.tag := tagClip(vpn)
620    ps.asid := asid
621    ps.prefetch := prefetch
622    for (i <- 0 until num) {
623      val pte = data((i+1)*XLEN-1, i*XLEN).asTypeOf(new PteBundle)
624      ps.ppns(i) := pte.ppn
625      ps.vs(i)   := !pte.isPf(levelUInt) && (if (hasPerm) pte.isLeaf() else !pte.isLeaf())
626      ps.perms.map(_(i) := pte.perm)
627    }
628    ps
629  }
630
631  override def toPrintable: Printable = {
632    // require(num == 4, "if num is not 4, please comment this toPrintable")
633    // NOTE: if num is not 4, please comment this toPrintable
634    val permsInner = perms.getOrElse(0.U.asTypeOf(Vec(num, new PtePermBundle)))
635    p"asid: ${Hexadecimal(asid)} tag:0x${Hexadecimal(tag)} ppns:${printVec(ppns)} vs:${Binary(vs.asUInt)} " +
636      (if (hasPerm) p"perms:${printVec(permsInner)}" else p"")
637  }
638}
639
640class PTWEntriesWithEcc(eccCode: Code, num: Int, tagLen: Int, level: Int, hasPerm: Boolean)(implicit p: Parameters) extends PtwBundle {
641  val entries = new PtwEntries(num, tagLen, level, hasPerm)
642
643  val ecc_block = XLEN
644  val ecc_info = get_ecc_info()
645  val ecc = UInt(ecc_info._1.W)
646
647  def get_ecc_info(): (Int, Int, Int, Int) = {
648    val eccBits_per = eccCode.width(ecc_block) - ecc_block
649
650    val data_length = entries.getWidth
651    val data_align_num = data_length / ecc_block
652    val data_not_align = (data_length % ecc_block) != 0 // ugly code
653    val data_unalign_length = data_length - data_align_num * ecc_block
654    val eccBits_unalign = eccCode.width(data_unalign_length) - data_unalign_length
655
656    val eccBits = eccBits_per * data_align_num + eccBits_unalign
657    (eccBits, eccBits_per, data_align_num, data_unalign_length)
658  }
659
660  def encode() = {
661    val data = entries.asUInt()
662    val ecc_slices = Wire(Vec(ecc_info._3, UInt(ecc_info._2.W)))
663    for (i <- 0 until ecc_info._3) {
664      ecc_slices(i) := eccCode.encode(data((i+1)*ecc_block-1, i*ecc_block)) >> ecc_block
665    }
666    if (ecc_info._4 != 0) {
667      val ecc_unaligned = eccCode.encode(data(data.getWidth-1, ecc_info._3*ecc_block)) >> ecc_info._4
668      ecc := Cat(ecc_unaligned, ecc_slices.asUInt())
669    } else { ecc := ecc_slices.asUInt() }
670  }
671
672  def decode(): Bool = {
673    val data = entries.asUInt()
674    val res = Wire(Vec(ecc_info._3 + 1, Bool()))
675    for (i <- 0 until ecc_info._3) {
676      res(i) := {if (ecc_info._2 != 0) eccCode.decode(Cat(ecc((i+1)*ecc_info._2-1, i*ecc_info._2), data((i+1)*ecc_block-1, i*ecc_block))).error else false.B}
677    }
678    if (ecc_info._2 != 0 && ecc_info._4 != 0) {
679      res(ecc_info._3) := eccCode.decode(
680        Cat(ecc(ecc_info._1-1, ecc_info._2*ecc_info._3), data(data.getWidth-1, ecc_info._3*ecc_block))).error
681    } else { res(ecc_info._3) := false.B }
682
683    Cat(res).orR
684  }
685
686  def gen(vpn: UInt, asid: UInt, data: UInt, levelUInt: UInt, prefetch: Bool) = {
687    this.entries := entries.genEntries(vpn, asid, data, levelUInt, prefetch)
688    this.encode()
689  }
690}
691
692class PtwReq(implicit p: Parameters) extends PtwBundle {
693  val vpn = UInt(vpnLen.W)
694
695  override def toPrintable: Printable = {
696    p"vpn:0x${Hexadecimal(vpn)}"
697  }
698}
699
700class PtwResp(implicit p: Parameters) extends PtwBundle {
701  val entry = new PtwEntry(tagLen = vpnLen, hasPerm = true, hasLevel = true)
702  val pf = Bool()
703  val af = Bool()
704
705  def apply(pf: Bool, af: Bool, level: UInt, pte: PteBundle, vpn: UInt, asid: UInt) = {
706    this.entry.level.map(_ := level)
707    this.entry.tag := vpn
708    this.entry.perm.map(_ := pte.getPerm())
709    this.entry.ppn := pte.ppn
710    this.entry.prefetch := DontCare
711    this.entry.asid := asid
712    this.entry.v := !pf
713    this.pf := pf
714    this.af := af
715  }
716
717  override def toPrintable: Printable = {
718    p"entry:${entry} pf:${pf} af:${af}"
719  }
720}
721
722class L2TLBIO(implicit p: Parameters) extends PtwBundle {
723  val tlb = Vec(PtwWidth, Flipped(new TlbPtwIO))
724  val sfence = Input(new SfenceBundle)
725  val csr = new Bundle {
726    val tlb = Input(new TlbCsrBundle)
727    val distribute_csr = Flipped(new DistributedCSRIO)
728  }
729}
730
731class L2TlbMemReqBundle(implicit p: Parameters) extends PtwBundle {
732  val addr = UInt(PAddrBits.W)
733  val id = UInt(bMemID.W)
734}
735
736class L2TlbInnerBundle(implicit p: Parameters) extends PtwReq {
737  val source = UInt(bSourceWidth.W)
738}
739
740
741object ValidHoldBypass{
742  def apply(infire: Bool, outfire: Bool, flush: Bool = false.B) = {
743    val valid = RegInit(false.B)
744    when (infire) { valid := true.B }
745    when (outfire) { valid := false.B } // ATTENTION: order different with ValidHold
746    when (flush) { valid := false.B } // NOTE: the flush will flush in & out, is that ok?
747    valid || infire
748  }
749}
750
751class L1TlbDB(implicit p: Parameters) extends TlbBundle {
752  val vpn = UInt(vpnLen.W)
753}
754
755class PageCacheDB(implicit p: Parameters) extends TlbBundle with HasPtwConst {
756  val vpn = UInt(vpnLen.W)
757  val source = UInt(bSourceWidth.W)
758  val bypassed = Bool()
759  val is_first = Bool()
760  val prefetched = Bool()
761  val prefetch = Bool()
762  val l2Hit = Bool()
763  val l1Hit = Bool()
764  val hit = Bool()
765}
766
767class PTWDB(implicit p: Parameters) extends TlbBundle with HasPtwConst {
768  val vpn = UInt(vpnLen.W)
769  val source = UInt(bSourceWidth.W)
770}
771
772class L2TlbPrefetchDB(implicit p: Parameters) extends TlbBundle {
773  val vpn = UInt(vpnLen.W)
774}
775
776class L2TlbMissQueueDB(implicit p: Parameters) extends TlbBundle {
777  val vpn = UInt(vpnLen.W)
778}
779