xref: /XiangShan/src/main/scala/xiangshan/cache/dcache/DCacheWrapper.scala (revision 9473e04d5cab97eaf63add958b2392eec3d876a2)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.cache
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.experimental.ExtModule
22import chisel3.util._
23import xiangshan._
24import utils._
25import utility._
26import freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes}
27import freechips.rocketchip.tilelink._
28import freechips.rocketchip.util.{BundleFieldBase, UIntToOH1}
29import device.RAMHelper
30import huancun.{AliasField, AliasKey, DirtyField, PreferCacheField, PrefetchField}
31import utility.FastArbiter
32import mem.{AddPipelineReg}
33import xiangshan.cache.dcache.ReplayCarry
34
35import scala.math.max
36
37// DCache specific parameters
38case class DCacheParameters
39(
40  nSets: Int = 256,
41  nWays: Int = 8,
42  rowBits: Int = 64,
43  tagECC: Option[String] = None,
44  dataECC: Option[String] = None,
45  replacer: Option[String] = Some("setplru"),
46  nMissEntries: Int = 1,
47  nProbeEntries: Int = 1,
48  nReleaseEntries: Int = 1,
49  nMMIOEntries: Int = 1,
50  nMMIOs: Int = 1,
51  blockBytes: Int = 64,
52  alwaysReleaseData: Boolean = true
53) extends L1CacheParameters {
54  // if sets * blockBytes > 4KB(page size),
55  // cache alias will happen,
56  // we need to avoid this by recoding additional bits in L2 cache
57  val setBytes = nSets * blockBytes
58  val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None
59  val reqFields: Seq[BundleFieldBase] = Seq(
60    PrefetchField(),
61    PreferCacheField()
62  ) ++ aliasBitsOpt.map(AliasField)
63  val echoFields: Seq[BundleFieldBase] = Seq(DirtyField())
64
65  def tagCode: Code = Code.fromString(tagECC)
66
67  def dataCode: Code = Code.fromString(dataECC)
68}
69
70//           Physical Address
71// --------------------------------------
72// |   Physical Tag |  PIndex  | Offset |
73// --------------------------------------
74//                  |
75//                  DCacheTagOffset
76//
77//           Virtual Address
78// --------------------------------------
79// | Above index  | Set | Bank | Offset |
80// --------------------------------------
81//                |     |      |        |
82//                |     |      |        0
83//                |     |      DCacheBankOffset
84//                |     DCacheSetOffset
85//                DCacheAboveIndexOffset
86
87// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte
88
89trait HasDCacheParameters extends HasL1CacheParameters {
90  val cacheParams = dcacheParameters
91  val cfg = cacheParams
92
93  def encWordBits = cacheParams.dataCode.width(wordBits)
94
95  def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only
96  def eccBits = encWordBits - wordBits
97
98  def encTagBits = cacheParams.tagCode.width(tagBits)
99  def eccTagBits = encTagBits - tagBits
100
101  def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant
102
103  def nSourceType = 10
104  def sourceTypeWidth = log2Up(nSourceType)
105  // non-prefetch source < 3
106  def LOAD_SOURCE = 0
107  def STORE_SOURCE = 1
108  def AMO_SOURCE = 2
109  // prefetch source >= 3
110  def DCACHE_PREFETCH_SOURCE = 3
111  def SOFT_PREFETCH = 4
112  def HW_PREFETCH_AGT = 5
113  def HW_PREFETCH_PHT_CUR = 6
114  def HW_PREFETCH_PHT_INC = 7
115  def HW_PREFETCH_PHT_DEC = 8
116  def HW_PREFETCH_BOP = 9
117  def HW_PREFETCH_STRIDE = 10
118
119  // each source use a id to distinguish its multiple reqs
120  def reqIdWidth = log2Up(nEntries) max log2Up(StoreBufferSize)
121
122  require(isPow2(cfg.nMissEntries)) // TODO
123  // require(isPow2(cfg.nReleaseEntries))
124  require(cfg.nMissEntries < cfg.nReleaseEntries)
125  val nEntries = cfg.nMissEntries + cfg.nReleaseEntries
126  val releaseIdBase = cfg.nMissEntries
127
128  // banked dcache support
129  val DCacheSets = cacheParams.nSets
130  val DCacheWays = cacheParams.nWays
131  val DCacheBanks = 8 // hardcoded
132  val DCacheSRAMRowBits = cacheParams.rowBits // hardcoded
133  val DCacheWordBits = 64 // hardcoded
134  val DCacheWordBytes = DCacheWordBits / 8
135  require(DCacheSRAMRowBits == 64)
136
137  val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets
138  val DCacheSizeBytes = DCacheSizeBits / 8
139  val DCacheSizeWords = DCacheSizeBits / 64 // TODO
140
141  val DCacheSameVPAddrLength = 12
142
143  val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8
144  val DCacheWordOffset = log2Up(DCacheWordBytes)
145
146  val DCacheBankOffset = log2Up(DCacheSRAMRowBytes)
147  val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks)
148  val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets)
149  val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength
150  val DCacheLineOffset = DCacheSetOffset
151
152  // uncache
153  val uncacheIdxBits = log2Up(StoreQueueSize) max log2Up(LoadQueueSize)
154  // hardware prefetch parameters
155  // high confidence hardware prefetch port
156  val HighConfHWPFLoadPort = LoadPipelineWidth - 1 // use the last load port by default
157  val IgnorePrefetchConfidence = false
158
159  // parameters about duplicating regs to solve fanout
160  // In Main Pipe:
161    // tag_write.ready -> data_write.valid * 8 banks
162    // tag_write.ready -> meta_write.valid
163    // tag_write.ready -> tag_write.valid
164    // tag_write.ready -> err_write.valid
165    // tag_write.ready -> wb.valid
166  val nDupTagWriteReady = DCacheBanks + 4
167  // In Main Pipe:
168    // data_write.ready -> data_write.valid * 8 banks
169    // data_write.ready -> meta_write.valid
170    // data_write.ready -> tag_write.valid
171    // data_write.ready -> err_write.valid
172    // data_write.ready -> wb.valid
173  val nDupDataWriteReady = DCacheBanks + 4
174  val nDupWbReady = DCacheBanks + 4
175  val nDupStatus = nDupTagWriteReady + nDupDataWriteReady
176  val dataWritePort = 0
177  val metaWritePort = DCacheBanks
178  val tagWritePort = metaWritePort + 1
179  val errWritePort = tagWritePort + 1
180  val wbPort = errWritePort + 1
181
182  def addr_to_dcache_bank(addr: UInt) = {
183    require(addr.getWidth >= DCacheSetOffset)
184    addr(DCacheSetOffset-1, DCacheBankOffset)
185  }
186
187  def addr_to_dcache_set(addr: UInt) = {
188    require(addr.getWidth >= DCacheAboveIndexOffset)
189    addr(DCacheAboveIndexOffset-1, DCacheSetOffset)
190  }
191
192  def get_data_of_bank(bank: Int, data: UInt) = {
193    require(data.getWidth >= (bank+1)*DCacheSRAMRowBits)
194    data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank)
195  }
196
197  def get_mask_of_bank(bank: Int, data: UInt) = {
198    require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes)
199    data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank)
200  }
201
202  def arbiter[T <: Bundle](
203    in: Seq[DecoupledIO[T]],
204    out: DecoupledIO[T],
205    name: Option[String] = None): Unit = {
206    val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size))
207    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
208    for ((a, req) <- arb.io.in.zip(in)) {
209      a <> req
210    }
211    out <> arb.io.out
212  }
213
214  def arbiter_with_pipereg[T <: Bundle](
215    in: Seq[DecoupledIO[T]],
216    out: DecoupledIO[T],
217    name: Option[String] = None): Unit = {
218    val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size))
219    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
220    for ((a, req) <- arb.io.in.zip(in)) {
221      a <> req
222    }
223    AddPipelineReg(arb.io.out, out, false.B)
224  }
225
226  def arbiter_with_pipereg_N_dup[T <: Bundle](
227    in: Seq[DecoupledIO[T]],
228    out: DecoupledIO[T],
229    dups: Seq[DecoupledIO[T]],
230    name: Option[String] = None): Unit = {
231    val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size))
232    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
233    for ((a, req) <- arb.io.in.zip(in)) {
234      a <> req
235    }
236    for (dup <- dups) {
237      AddPipelineReg(arb.io.out, dup, false.B)
238    }
239    AddPipelineReg(arb.io.out, out, false.B)
240  }
241
242  def rrArbiter[T <: Bundle](
243    in: Seq[DecoupledIO[T]],
244    out: DecoupledIO[T],
245    name: Option[String] = None): Unit = {
246    val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size))
247    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
248    for ((a, req) <- arb.io.in.zip(in)) {
249      a <> req
250    }
251    out <> arb.io.out
252  }
253
254  def fastArbiter[T <: Bundle](
255    in: Seq[DecoupledIO[T]],
256    out: DecoupledIO[T],
257    name: Option[String] = None): Unit = {
258    val arb = Module(new FastArbiter[T](chiselTypeOf(out.bits), in.size))
259    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
260    for ((a, req) <- arb.io.in.zip(in)) {
261      a <> req
262    }
263    out <> arb.io.out
264  }
265
266  val numReplaceRespPorts = 2
267
268  require(isPow2(nSets), s"nSets($nSets) must be pow2")
269  require(isPow2(nWays), s"nWays($nWays) must be pow2")
270  require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)")
271  require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)")
272}
273
274abstract class DCacheModule(implicit p: Parameters) extends L1CacheModule
275  with HasDCacheParameters
276
277abstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle
278  with HasDCacheParameters
279
280class ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle {
281  val set = UInt(log2Up(nSets).W)
282  val way = UInt(log2Up(nWays).W)
283}
284
285class ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle {
286  val set = ValidIO(UInt(log2Up(nSets).W))
287  val way = Input(UInt(log2Up(nWays).W))
288}
289
290class DCacheExtraMeta(implicit p: Parameters) extends DCacheBundle
291{
292  val error = Bool() // cache line has been marked as corrupted by l2 / ecc error detected when store
293  val prefetch = Bool() // cache line is first required by prefetch
294  val access = Bool() // cache line has been accessed by load / store
295
296  // val debug_access_timestamp = UInt(64.W) // last time a load / store / refill access that cacheline
297}
298
299// memory request in word granularity(load, mmio, lr/sc, atomics)
300class DCacheWordReq(implicit p: Parameters)  extends DCacheBundle
301{
302  val cmd    = UInt(M_SZ.W)
303  val addr   = UInt(PAddrBits.W)
304  val data   = UInt(DataBits.W)
305  val mask   = UInt((DataBits/8).W)
306  val id     = UInt(reqIdWidth.W)
307  val instrtype   = UInt(sourceTypeWidth.W)
308  val replayCarry = new ReplayCarry
309  def dump() = {
310    XSDebug("DCacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n",
311      cmd, addr, data, mask, id)
312  }
313}
314
315// memory request in word granularity(store)
316class DCacheLineReq(implicit p: Parameters)  extends DCacheBundle
317{
318  val cmd    = UInt(M_SZ.W)
319  val vaddr  = UInt(VAddrBits.W)
320  val addr   = UInt(PAddrBits.W)
321  val data   = UInt((cfg.blockBytes * 8).W)
322  val mask   = UInt(cfg.blockBytes.W)
323  val id     = UInt(reqIdWidth.W)
324  def dump() = {
325    XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n",
326      cmd, addr, data, mask, id)
327  }
328  def idx: UInt = get_idx(vaddr)
329}
330
331class DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq {
332  val vaddr = UInt(VAddrBits.W)
333  val wline = Bool()
334}
335
336class BaseDCacheWordResp(implicit p: Parameters) extends DCacheBundle
337{
338  // read in s2
339  val data = UInt(DataBits.W)
340  // select in s3
341  val data_delayed = UInt(DataBits.W)
342  val id     = UInt(reqIdWidth.W)
343
344  // cache req missed, send it to miss queue
345  val miss   = Bool()
346  // cache miss, and failed to enter the missqueue, replay from RS is needed
347  val replay = Bool()
348  val replayCarry = new ReplayCarry
349  // data has been corrupted
350  val tag_error = Bool() // tag error
351  val mshr_id = UInt(log2Up(cfg.nMissEntries).W)
352
353  def dump() = {
354    XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n",
355      data, id, miss, replay)
356  }
357}
358
359class DCacheWordResp(implicit p: Parameters) extends BaseDCacheWordResp
360{
361  val meta_prefetch = Bool()
362  val meta_access = Bool()
363  // 1 cycle after data resp
364  val error_delayed = Bool() // all kinds of errors, include tag error
365}
366
367class BankedDCacheWordResp(implicit p: Parameters) extends DCacheWordResp
368{
369  val bank_data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W))
370  val bank_oh = UInt(DCacheBanks.W)
371}
372
373class DCacheWordRespWithError(implicit p: Parameters) extends BaseDCacheWordResp
374{
375  val error = Bool() // all kinds of errors, include tag error
376}
377
378class DCacheLineResp(implicit p: Parameters) extends DCacheBundle
379{
380  val data   = UInt((cfg.blockBytes * 8).W)
381  // cache req missed, send it to miss queue
382  val miss   = Bool()
383  // cache req nacked, replay it later
384  val replay = Bool()
385  val id     = UInt(reqIdWidth.W)
386  def dump() = {
387    XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n",
388      data, id, miss, replay)
389  }
390}
391
392class Refill(implicit p: Parameters) extends DCacheBundle
393{
394  val addr   = UInt(PAddrBits.W)
395  val data   = UInt(l1BusDataWidth.W)
396  val error  = Bool() // refilled data has been corrupted
397  // for debug usage
398  val data_raw = UInt((cfg.blockBytes * 8).W)
399  val hasdata = Bool()
400  val refill_done = Bool()
401  def dump() = {
402    XSDebug("Refill: addr: %x data: %x\n", addr, data)
403  }
404  val id     = UInt(log2Up(cfg.nMissEntries).W)
405}
406
407class Release(implicit p: Parameters) extends DCacheBundle
408{
409  val paddr  = UInt(PAddrBits.W)
410  def dump() = {
411    XSDebug("Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset))
412  }
413}
414
415class DCacheWordIO(implicit p: Parameters) extends DCacheBundle
416{
417  val req  = DecoupledIO(new DCacheWordReq)
418  val resp = Flipped(DecoupledIO(new DCacheWordResp))
419}
420
421
422class UncacheWordReq(implicit p: Parameters) extends DCacheBundle
423{
424  val cmd  = UInt(M_SZ.W)
425  val addr = UInt(PAddrBits.W)
426  val data = UInt(DataBits.W)
427  val mask = UInt((DataBits/8).W)
428  val id   = UInt(uncacheIdxBits.W)
429  val instrtype = UInt(sourceTypeWidth.W)
430  val atomic = Bool()
431  val replayCarry = new ReplayCarry
432
433  def dump() = {
434    XSDebug("UncacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n",
435      cmd, addr, data, mask, id)
436  }
437}
438
439class UncacheWorResp(implicit p: Parameters) extends DCacheBundle
440{
441  val data      = UInt(DataBits.W)
442  val data_delayed = UInt(DataBits.W)
443  val id        = UInt(uncacheIdxBits.W)
444  val miss      = Bool()
445  val replay    = Bool()
446  val tag_error = Bool()
447  val error     = Bool()
448  val replayCarry = new ReplayCarry
449  val mshr_id = UInt(log2Up(cfg.nMissEntries).W)  // FIXME: why uncacheWordResp is not merged to baseDcacheResp
450
451  def dump() = {
452    XSDebug("UncacheWordResp: data: %x id: %d miss: %b replay: %b, tag_error: %b, error: %b\n",
453      data, id, miss, replay, tag_error, error)
454  }
455}
456
457class UncacheWordIO(implicit p: Parameters) extends DCacheBundle
458{
459  val req  = DecoupledIO(new UncacheWordReq)
460  val resp = Flipped(DecoupledIO(new UncacheWorResp))
461}
462
463class AtomicsResp(implicit p: Parameters) extends DCacheBundle {
464  val data    = UInt(DataBits.W)
465  val miss    = Bool()
466  val miss_id = UInt(log2Up(cfg.nMissEntries).W)
467  val replay  = Bool()
468  val error   = Bool()
469
470  val ack_miss_queue = Bool()
471
472  val id     = UInt(reqIdWidth.W)
473}
474
475class AtomicWordIO(implicit p: Parameters) extends DCacheBundle
476{
477  val req  = DecoupledIO(new MainPipeReq)
478  val resp = Flipped(ValidIO(new AtomicsResp))
479  val block_lr = Input(Bool())
480}
481
482// used by load unit
483class DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO
484{
485  // kill previous cycle's req
486  val s1_kill  = Output(Bool())
487  val s2_kill  = Output(Bool())
488  val s2_pc = Output(UInt(VAddrBits.W))
489  // cycle 0: virtual address: req.addr
490  // cycle 1: physical address: s1_paddr
491  val s1_paddr_dup_lsu = Output(UInt(PAddrBits.W)) // lsu side paddr
492  val s1_paddr_dup_dcache = Output(UInt(PAddrBits.W)) // dcache side paddr
493  val s1_disable_fast_wakeup = Input(Bool())
494  val s1_bank_conflict = Input(Bool())
495  // cycle 2: hit signal
496  val s2_hit = Input(Bool()) // hit signal for lsu,
497
498  // debug
499  val debug_s1_hit_way = Input(UInt(nWays.W))
500}
501
502class DCacheLineIO(implicit p: Parameters) extends DCacheBundle
503{
504  val req  = DecoupledIO(new DCacheLineReq)
505  val resp = Flipped(DecoupledIO(new DCacheLineResp))
506}
507
508class DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle {
509  // sbuffer will directly send request to dcache main pipe
510  val req = Flipped(Decoupled(new DCacheLineReq))
511
512  val main_pipe_hit_resp = ValidIO(new DCacheLineResp)
513  val refill_hit_resp = ValidIO(new DCacheLineResp)
514
515  val replay_resp = ValidIO(new DCacheLineResp)
516
517  def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp)
518}
519
520// forward tilelink channel D's data to ldu
521class DcacheToLduForwardIO(implicit p: Parameters) extends DCacheBundle {
522  val valid = Bool()
523  val data = UInt(l1BusDataWidth.W)
524  val mshrid = UInt(log2Up(cfg.nMissEntries).W)
525  val last = Bool()
526
527  def apply(req_valid : Bool, req_data : UInt, req_mshrid : UInt, req_last : Bool) = {
528    valid := req_valid
529    data := req_data
530    mshrid := req_mshrid
531    last := req_last
532  }
533
534  def dontCare() = {
535    valid := false.B
536    data := DontCare
537    mshrid := DontCare
538    last := DontCare
539  }
540
541  def forward(req_valid : Bool, req_mshr_id : UInt, req_paddr : UInt) = {
542    val all_match = req_valid && valid &&
543                req_mshr_id === mshrid &&
544                req_paddr(log2Up(refillBytes)) === last
545
546    val forward_D = RegInit(false.B)
547    val forwardData = RegInit(VecInit(List.fill(8)(0.U(8.W))))
548
549    val block_idx = req_paddr(log2Up(refillBytes) - 1, 3)
550    val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W)))
551    (0 until l1BusDataWidth / 64).map(i => {
552      block_data(i) := data(64 * i + 63, 64 * i)
553    })
554    val selected_data = block_data(block_idx)
555
556    forward_D := all_match
557    for (i <- 0 until 8) {
558      forwardData(i) := selected_data(8 * i + 7, 8 * i)
559    }
560
561    (forward_D, forwardData)
562  }
563}
564
565class MissEntryForwardIO(implicit p: Parameters) extends DCacheBundle {
566  val inflight = Bool()
567  val paddr = UInt(PAddrBits.W)
568  val raw_data = Vec(blockBytes/beatBytes, UInt(beatBits.W))
569  val firstbeat_valid = Bool()
570  val lastbeat_valid = Bool()
571
572  def apply(mshr_valid : Bool, mshr_paddr : UInt, mshr_rawdata : Vec[UInt], mshr_first_valid : Bool, mshr_last_valid : Bool) = {
573    inflight := mshr_valid
574    paddr := mshr_paddr
575    raw_data := mshr_rawdata
576    firstbeat_valid := mshr_first_valid
577    lastbeat_valid := mshr_last_valid
578  }
579
580  // check if we can forward from mshr or D channel
581  def check(req_valid : Bool, req_paddr : UInt) = {
582    RegNext(req_valid && inflight && req_paddr(PAddrBits - 1, blockOffBits) === paddr(PAddrBits - 1, blockOffBits))
583  }
584
585  def forward(req_valid : Bool, req_paddr : UInt) = {
586    val all_match = (req_paddr(log2Up(refillBytes)) === 0.U && firstbeat_valid) ||
587                    (req_paddr(log2Up(refillBytes)) === 1.U && lastbeat_valid)
588
589    val forward_mshr = RegInit(false.B)
590    val forwardData = RegInit(VecInit(List.fill(8)(0.U(8.W))))
591
592    val beat_data = raw_data(req_paddr(log2Up(refillBytes)))
593    val block_idx = req_paddr(log2Up(refillBytes) - 1, 3)
594    val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W)))
595    (0 until l1BusDataWidth / 64).map(i => {
596      block_data(i) := beat_data(64 * i + 63, 64 * i)
597    })
598    val selected_data = block_data(block_idx)
599
600    forward_mshr := all_match
601    for (i <- 0 until 8) {
602      forwardData(i) := selected_data(8 * i + 7, 8 * i)
603    }
604
605    (forward_mshr, forwardData)
606  }
607}
608
609// forward mshr's data to ldu
610class LduToMissqueueForwardIO(implicit p: Parameters) extends DCacheBundle {
611  // req
612  val valid = Input(Bool())
613  val mshrid = Input(UInt(log2Up(cfg.nMissEntries).W))
614  val paddr = Input(UInt(PAddrBits.W))
615  // resp
616  val forward_mshr = Output(Bool())
617  val forwardData = Output(Vec(8, UInt(8.W)))
618  val forward_result_valid = Output(Bool())
619
620  def connect(sink: LduToMissqueueForwardIO) = {
621    sink.valid := valid
622    sink.mshrid := mshrid
623    sink.paddr := paddr
624    forward_mshr := sink.forward_mshr
625    forwardData := sink.forwardData
626    forward_result_valid := sink.forward_result_valid
627  }
628
629  def forward() = {
630    (forward_result_valid, forward_mshr, forwardData)
631  }
632}
633
634class DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle {
635  val load  = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load
636  val lsq = ValidIO(new Refill)  // refill to load queue, wake up load misses
637  val store = new DCacheToSbufferIO // for sbuffer
638  val atomics  = Flipped(new AtomicWordIO)  // atomics reqs
639  val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check
640  val forward_D = Output(Vec(LoadPipelineWidth, new DcacheToLduForwardIO))
641  val forward_mshr = Vec(LoadPipelineWidth, new LduToMissqueueForwardIO)
642}
643
644class DCacheIO(implicit p: Parameters) extends DCacheBundle {
645  val hartId = Input(UInt(8.W))
646  val l2_pf_store_only = Input(Bool())
647  val lsu = new DCacheToLsuIO
648  val csr = new L1CacheToCsrIO
649  val error = new L1CacheErrorInfo
650  val mshrFull = Output(Bool())
651}
652
653
654class DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters {
655
656  val clientParameters = TLMasterPortParameters.v1(
657    Seq(TLMasterParameters.v1(
658      name = "dcache",
659      sourceId = IdRange(0, nEntries + 1),
660      supportsProbe = TransferSizes(cfg.blockBytes)
661    )),
662    requestFields = cacheParams.reqFields,
663    echoFields = cacheParams.echoFields
664  )
665
666  val clientNode = TLClientNode(Seq(clientParameters))
667
668  lazy val module = new DCacheImp(this)
669}
670
671
672class DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents {
673
674  val io = IO(new DCacheIO)
675
676  val (bus, edge) = outer.clientNode.out.head
677  require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match")
678
679  println("DCache:")
680  println("  DCacheSets: " + DCacheSets)
681  println("  DCacheWays: " + DCacheWays)
682  println("  DCacheBanks: " + DCacheBanks)
683  println("  DCacheSRAMRowBits: " + DCacheSRAMRowBits)
684  println("  DCacheWordOffset: " + DCacheWordOffset)
685  println("  DCacheBankOffset: " + DCacheBankOffset)
686  println("  DCacheSetOffset: " + DCacheSetOffset)
687  println("  DCacheTagOffset: " + DCacheTagOffset)
688  println("  DCacheAboveIndexOffset: " + DCacheAboveIndexOffset)
689
690  //----------------------------------------
691  // core data structures
692  val bankedDataArray = Module(new BankedDataArray)
693  val metaArray = Module(new L1CohMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2))
694  val errorArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2))
695  val prefetchArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 2)) // prefetch flag array
696  val accessArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = LoadPipelineWidth + 2))
697  val tagArray = Module(new DuplicatedTagArray(readPorts = LoadPipelineWidth + 1))
698  bankedDataArray.dump()
699
700  //----------------------------------------
701  // core modules
702  val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))})
703  // val atomicsReplayUnit = Module(new AtomicsReplayEntry)
704  val mainPipe   = Module(new MainPipe)
705  val refillPipe = Module(new RefillPipe)
706  val missQueue  = Module(new MissQueue(edge))
707  val probeQueue = Module(new ProbeQueue(edge))
708  val wb         = Module(new WritebackQueue(edge))
709
710  missQueue.io.hartId := io.hartId
711  missQueue.io.l2_pf_store_only := RegNext(io.l2_pf_store_only, false.B)
712
713  val errors = ldu.map(_.io.error) ++ // load error
714    Seq(mainPipe.io.error) // store / misc error
715  io.error <> RegNext(Mux1H(errors.map(e => RegNext(e.valid) -> RegNext(e))))
716
717  //----------------------------------------
718  // meta array
719
720  // read / write coh meta
721  val meta_read_ports = ldu.map(_.io.meta_read) ++
722    Seq(mainPipe.io.meta_read)
723  val meta_resp_ports = ldu.map(_.io.meta_resp) ++
724    Seq(mainPipe.io.meta_resp)
725  val meta_write_ports = Seq(
726    mainPipe.io.meta_write,
727    refillPipe.io.meta_write
728  )
729  meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p }
730  meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r }
731  meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p }
732
733  // read extra meta
734  meta_read_ports.zip(errorArray.io.read).foreach { case (p, r) => r <> p }
735  meta_read_ports.zip(prefetchArray.io.read).foreach { case (p, r) => r <> p }
736  meta_read_ports.zip(accessArray.io.read).foreach { case (p, r) => r <> p }
737  val extra_meta_resp_ports = ldu.map(_.io.extra_meta_resp) ++
738    Seq(mainPipe.io.extra_meta_resp)
739  extra_meta_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => {
740    (0 until nWays).map(i => { p(i).error := r(i) })
741  }}
742  extra_meta_resp_ports.zip(prefetchArray.io.resp).foreach { case (p, r) => {
743    (0 until nWays).map(i => { p(i).prefetch := r(i) })
744  }}
745  extra_meta_resp_ports.zip(accessArray.io.resp).foreach { case (p, r) => {
746    (0 until nWays).map(i => { p(i).access := r(i) })
747  }}
748
749  // write extra meta
750  val error_flag_write_ports = Seq(
751    mainPipe.io.error_flag_write, // error flag generated by corrupted store
752    refillPipe.io.error_flag_write // corrupted signal from l2
753  )
754  error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p }
755
756  val prefetch_flag_write_ports = Seq(
757    mainPipe.io.prefetch_flag_write, // set prefetch_flag to false if coh is set to Nothing
758    refillPipe.io.prefetch_flag_write // refill required by prefetch will set prefetch_flag
759  )
760  prefetch_flag_write_ports.zip(prefetchArray.io.write).foreach { case (p, w) => w <> p }
761
762  val access_flag_write_ports = ldu.map(_.io.access_flag_write) ++ Seq(
763    mainPipe.io.access_flag_write,
764    refillPipe.io.access_flag_write
765  )
766  access_flag_write_ports.zip(accessArray.io.write).foreach { case (p, w) => w <> p }
767
768  //----------------------------------------
769  // tag array
770  require(tagArray.io.read.size == (ldu.size + 1))
771  val tag_write_intend = missQueue.io.refill_pipe_req.valid || mainPipe.io.tag_write_intend
772  assert(!RegNext(!tag_write_intend && tagArray.io.write.valid))
773  ldu.zipWithIndex.foreach {
774    case (ld, i) =>
775      tagArray.io.read(i) <> ld.io.tag_read
776      ld.io.tag_resp := tagArray.io.resp(i)
777      ld.io.tag_read.ready := !tag_write_intend
778  }
779  tagArray.io.read.last <> mainPipe.io.tag_read
780  mainPipe.io.tag_resp := tagArray.io.resp.last
781
782  val fake_tag_read_conflict_this_cycle = PopCount(ldu.map(ld=> ld.io.tag_read.valid))
783  XSPerfAccumulate("fake_tag_read_conflict", fake_tag_read_conflict_this_cycle)
784
785  val tag_write_arb = Module(new Arbiter(new TagWriteReq, 2))
786  tag_write_arb.io.in(0) <> refillPipe.io.tag_write
787  tag_write_arb.io.in(1) <> mainPipe.io.tag_write
788  tagArray.io.write <> tag_write_arb.io.out
789
790  //----------------------------------------
791  // data array
792
793  val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 2))
794  dataWriteArb.io.in(0) <> refillPipe.io.data_write
795  dataWriteArb.io.in(1) <> mainPipe.io.data_write
796
797  bankedDataArray.io.write <> dataWriteArb.io.out
798
799  for (bank <- 0 until DCacheBanks) {
800    val dataWriteArb_dup = Module(new Arbiter(new L1BankedDataWriteReqCtrl, 2))
801    dataWriteArb_dup.io.in(0).valid := refillPipe.io.data_write_dup(bank).valid
802    dataWriteArb_dup.io.in(0).bits := refillPipe.io.data_write_dup(bank).bits
803    dataWriteArb_dup.io.in(1).valid := mainPipe.io.data_write_dup(bank).valid
804    dataWriteArb_dup.io.in(1).bits := mainPipe.io.data_write_dup(bank).bits
805
806    bankedDataArray.io.write_dup(bank) <> dataWriteArb_dup.io.out
807  }
808
809  bankedDataArray.io.readline <> mainPipe.io.data_read
810  bankedDataArray.io.readline_intend := mainPipe.io.data_read_intend
811  mainPipe.io.readline_error_delayed := bankedDataArray.io.readline_error_delayed
812  mainPipe.io.data_resp := bankedDataArray.io.readline_resp
813
814  (0 until LoadPipelineWidth).map(i => {
815    bankedDataArray.io.read(i) <> ldu(i).io.banked_data_read
816    bankedDataArray.io.read_error_delayed(i) <> ldu(i).io.read_error_delayed
817
818    ldu(i).io.banked_data_resp := bankedDataArray.io.read_resp_delayed(i)
819
820    ldu(i).io.bank_conflict_fast := bankedDataArray.io.bank_conflict_fast(i)
821    ldu(i).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(i)
822  })
823
824  (0 until LoadPipelineWidth).map(i => {
825    val (_, _, done, _) = edge.count(bus.d)
826    when(bus.d.bits.opcode === TLMessages.GrantData) {
827      io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done)
828    }.otherwise {
829      io.lsu.forward_D(i).dontCare()
830    }
831  })
832
833  //----------------------------------------
834  // load pipe
835  // the s1 kill signal
836  // only lsu uses this, replay never kills
837  for (w <- 0 until LoadPipelineWidth) {
838    ldu(w).io.lsu <> io.lsu.load(w)
839
840    // replay and nack not needed anymore
841    // TODO: remove replay and nack
842    ldu(w).io.nack := false.B
843
844    ldu(w).io.disable_ld_fast_wakeup :=
845      bankedDataArray.io.disable_ld_fast_wakeup(w) // load pipe fast wake up should be disabled when bank conflict
846  }
847
848  //----------------------------------------
849  // atomics
850  // atomics not finished yet
851  // io.lsu.atomics <> atomicsReplayUnit.io.lsu
852  io.lsu.atomics.resp := RegNext(mainPipe.io.atomic_resp)
853  io.lsu.atomics.block_lr := mainPipe.io.block_lr
854  // atomicsReplayUnit.io.pipe_resp := RegNext(mainPipe.io.atomic_resp)
855  // atomicsReplayUnit.io.block_lr <> mainPipe.io.block_lr
856
857  //----------------------------------------
858  // miss queue
859  val MissReqPortCount = LoadPipelineWidth + 1
860  val MainPipeMissReqPort = 0
861
862  // Request
863  val missReqArb = Module(new Arbiter(new MissReq, MissReqPortCount))
864
865  missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req
866  for (w <- 0 until LoadPipelineWidth) { missReqArb.io.in(w + 1) <> ldu(w).io.miss_req }
867
868  for (w <- 0 until LoadPipelineWidth) { ldu(w).io.miss_resp.id := missQueue.io.resp.id }
869
870  wb.io.miss_req.valid := missReqArb.io.out.valid
871  wb.io.miss_req.bits  := missReqArb.io.out.bits.addr
872
873  // block_decoupled(missReqArb.io.out, missQueue.io.req, wb.io.block_miss_req)
874  missReqArb.io.out <> missQueue.io.req
875  when(wb.io.block_miss_req) {
876    missQueue.io.req.bits.cancel := true.B
877    missReqArb.io.out.ready := false.B
878  }
879
880  // forward missqueue
881  (0 until LoadPipelineWidth).map(i => io.lsu.forward_mshr(i).connect(missQueue.io.forward(i)))
882
883  // refill to load queue
884  io.lsu.lsq <> missQueue.io.refill_to_ldq
885
886  // tilelink stuff
887  bus.a <> missQueue.io.mem_acquire
888  bus.e <> missQueue.io.mem_finish
889  missQueue.io.probe_addr := bus.b.bits.address
890
891  missQueue.io.main_pipe_resp := RegNext(mainPipe.io.atomic_resp)
892
893  //----------------------------------------
894  // probe
895  // probeQueue.io.mem_probe <> bus.b
896  block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block)
897  probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block
898  probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set
899
900  //----------------------------------------
901  // mainPipe
902  // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe,
903  // block the req in main pipe
904  block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, missQueue.io.refill_pipe_req.valid)
905  block_decoupled(io.lsu.store.req, mainPipe.io.store_req, refillPipe.io.req.valid)
906
907  io.lsu.store.replay_resp := RegNext(mainPipe.io.store_replay_resp)
908  io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp
909
910  arbiter_with_pipereg(
911    in = Seq(missQueue.io.main_pipe_req, io.lsu.atomics.req),
912    out = mainPipe.io.atomic_req,
913    name = Some("main_pipe_atomic_req")
914  )
915
916  mainPipe.io.invalid_resv_set := RegNext(wb.io.req.fire && wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits)
917
918  //----------------------------------------
919  // replace (main pipe)
920  val mpStatus = mainPipe.io.status
921  mainPipe.io.replace_req <> missQueue.io.replace_pipe_req
922  missQueue.io.replace_pipe_resp := mainPipe.io.replace_resp
923
924  //----------------------------------------
925  // refill pipe
926  val refillShouldBeBlocked = (mpStatus.s1.valid && mpStatus.s1.bits.set === missQueue.io.refill_pipe_req.bits.idx) ||
927    Cat(Seq(mpStatus.s2, mpStatus.s3).map(s =>
928      s.valid &&
929        s.bits.set === missQueue.io.refill_pipe_req.bits.idx &&
930        s.bits.way_en === missQueue.io.refill_pipe_req.bits.way_en
931    )).orR
932  block_decoupled(missQueue.io.refill_pipe_req, refillPipe.io.req, refillShouldBeBlocked)
933
934  val mpStatus_dup = mainPipe.io.status_dup
935  val mq_refill_dup = missQueue.io.refill_pipe_req_dup
936  val refillShouldBeBlocked_dup = VecInit((0 until nDupStatus).map { case i =>
937    mpStatus_dup(i).s1.valid && mpStatus_dup(i).s1.bits.set === mq_refill_dup(i).bits.idx ||
938    Cat(Seq(mpStatus_dup(i).s2, mpStatus_dup(i).s3).map(s =>
939      s.valid &&
940        s.bits.set === mq_refill_dup(i).bits.idx &&
941        s.bits.way_en === mq_refill_dup(i).bits.way_en
942    )).orR
943  })
944  dontTouch(refillShouldBeBlocked_dup)
945
946  refillPipe.io.req_dup_for_data_w.zipWithIndex.foreach { case (r, i) =>
947    r.bits := (mq_refill_dup.drop(dataWritePort).take(DCacheBanks))(i).bits
948  }
949  refillPipe.io.req_dup_for_meta_w.bits := mq_refill_dup(metaWritePort).bits
950  refillPipe.io.req_dup_for_tag_w.bits := mq_refill_dup(tagWritePort).bits
951  refillPipe.io.req_dup_for_err_w.bits := mq_refill_dup(errWritePort).bits
952  refillPipe.io.req_dup_for_data_w.zipWithIndex.foreach { case (r, i) =>
953    r.valid := (mq_refill_dup.drop(dataWritePort).take(DCacheBanks))(i).valid &&
954      !(refillShouldBeBlocked_dup.drop(dataWritePort).take(DCacheBanks))(i)
955  }
956  refillPipe.io.req_dup_for_meta_w.valid := mq_refill_dup(metaWritePort).valid && !refillShouldBeBlocked_dup(metaWritePort)
957  refillPipe.io.req_dup_for_tag_w.valid := mq_refill_dup(tagWritePort).valid && !refillShouldBeBlocked_dup(tagWritePort)
958  refillPipe.io.req_dup_for_err_w.valid := mq_refill_dup(errWritePort).valid && !refillShouldBeBlocked_dup(errWritePort)
959
960  val refillPipe_io_req_valid_dup = VecInit(mq_refill_dup.zip(refillShouldBeBlocked_dup).map(
961    x => x._1.valid && !x._2
962  ))
963  val refillPipe_io_data_write_valid_dup = VecInit(refillPipe_io_req_valid_dup.slice(0, nDupDataWriteReady))
964  val refillPipe_io_tag_write_valid_dup = VecInit(refillPipe_io_req_valid_dup.slice(nDupDataWriteReady, nDupStatus))
965  dontTouch(refillPipe_io_req_valid_dup)
966  dontTouch(refillPipe_io_data_write_valid_dup)
967  dontTouch(refillPipe_io_tag_write_valid_dup)
968  mainPipe.io.data_write_ready_dup := VecInit(refillPipe_io_data_write_valid_dup.map(v => !v))
969  mainPipe.io.tag_write_ready_dup := VecInit(refillPipe_io_tag_write_valid_dup.map(v => !v))
970  mainPipe.io.wb_ready_dup := wb.io.req_ready_dup
971
972  mq_refill_dup.zip(refillShouldBeBlocked_dup).foreach { case (r, block) =>
973    r.ready := refillPipe.io.req.ready && !block
974  }
975
976  missQueue.io.refill_pipe_resp := refillPipe.io.resp
977  io.lsu.store.refill_hit_resp := RegNext(refillPipe.io.store_resp)
978
979  //----------------------------------------
980  // wb
981  // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy
982
983  wb.io.req <> mainPipe.io.wb
984  bus.c     <> wb.io.mem_release
985  wb.io.release_wakeup := refillPipe.io.release_wakeup
986  wb.io.release_update := mainPipe.io.release_update
987  wb.io.probe_ttob_check_req <> mainPipe.io.probe_ttob_check_req
988  wb.io.probe_ttob_check_resp <> mainPipe.io.probe_ttob_check_resp
989
990  io.lsu.release.valid := RegNext(wb.io.req.fire())
991  io.lsu.release.bits.paddr := RegNext(wb.io.req.bits.addr)
992  // Note: RegNext() is required by:
993  // * load queue released flag update logic
994  // * load / load violation check logic
995  // * and timing requirements
996  // CHANGE IT WITH CARE
997
998  // connect bus d
999  missQueue.io.mem_grant.valid := false.B
1000  missQueue.io.mem_grant.bits  := DontCare
1001
1002  wb.io.mem_grant.valid := false.B
1003  wb.io.mem_grant.bits  := DontCare
1004
1005  // in L1DCache, we ony expect Grant[Data] and ReleaseAck
1006  bus.d.ready := false.B
1007  when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData) {
1008    missQueue.io.mem_grant <> bus.d
1009  } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) {
1010    wb.io.mem_grant <> bus.d
1011  } .otherwise {
1012    assert (!bus.d.fire())
1013  }
1014
1015  //----------------------------------------
1016  // replacement algorithm
1017  val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets)
1018
1019  val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way)
1020  replWayReqs.foreach{
1021    case req =>
1022      req.way := DontCare
1023      when (req.set.valid) { req.way := replacer.way(req.set.bits) }
1024  }
1025
1026  val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq(
1027    mainPipe.io.replace_access
1028  )
1029  val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W))))
1030  touchWays.zip(replAccessReqs).foreach {
1031    case (w, req) =>
1032      w.valid := req.valid
1033      w.bits := req.bits.way
1034  }
1035  val touchSets = replAccessReqs.map(_.bits.set)
1036  replacer.access(touchSets, touchWays)
1037
1038  //----------------------------------------
1039  // assertions
1040  // dcache should only deal with DRAM addresses
1041  when (bus.a.fire()) {
1042    assert(bus.a.bits.address >= 0x80000000L.U)
1043  }
1044  when (bus.b.fire()) {
1045    assert(bus.b.bits.address >= 0x80000000L.U)
1046  }
1047  when (bus.c.fire()) {
1048    assert(bus.c.bits.address >= 0x80000000L.U)
1049  }
1050
1051  //----------------------------------------
1052  // utility functions
1053  def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = {
1054    sink.valid   := source.valid && !block_signal
1055    source.ready := sink.ready   && !block_signal
1056    sink.bits    := source.bits
1057  }
1058
1059  //----------------------------------------
1060  // Customized csr cache op support
1061  val cacheOpDecoder = Module(new CSRCacheOpDecoder("dcache", CacheInstrucion.COP_ID_DCACHE))
1062  cacheOpDecoder.io.csr <> io.csr
1063  bankedDataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
1064  // dup cacheOp_req_valid
1065  bankedDataArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) }
1066  // dup cacheOp_req_bits_opCode
1067  bankedDataArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) }
1068
1069  tagArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
1070  // dup cacheOp_req_valid
1071  tagArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) }
1072  // dup cacheOp_req_bits_opCode
1073  tagArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) }
1074
1075  cacheOpDecoder.io.cache.resp.valid := bankedDataArray.io.cacheOp.resp.valid ||
1076    tagArray.io.cacheOp.resp.valid
1077  cacheOpDecoder.io.cache.resp.bits := Mux1H(List(
1078    bankedDataArray.io.cacheOp.resp.valid -> bankedDataArray.io.cacheOp.resp.bits,
1079    tagArray.io.cacheOp.resp.valid -> tagArray.io.cacheOp.resp.bits,
1080  ))
1081  cacheOpDecoder.io.error := io.error
1082  assert(!((bankedDataArray.io.cacheOp.resp.valid +& tagArray.io.cacheOp.resp.valid) > 1.U))
1083
1084  //----------------------------------------
1085  // performance counters
1086  val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire()))
1087  XSPerfAccumulate("num_loads", num_loads)
1088
1089  io.mshrFull := missQueue.io.full
1090
1091  // performance counter
1092  val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType))
1093  val st_access = Wire(ld_access.last.cloneType)
1094  ld_access.zip(ldu).foreach {
1095    case (a, u) =>
1096      a.valid := RegNext(u.io.lsu.req.fire()) && !u.io.lsu.s1_kill
1097      a.bits.idx := RegNext(get_idx(u.io.lsu.req.bits.addr))
1098      a.bits.tag := get_tag(u.io.lsu.s1_paddr_dup_dcache)
1099  }
1100  st_access.valid := RegNext(mainPipe.io.store_req.fire())
1101  st_access.bits.idx := RegNext(get_idx(mainPipe.io.store_req.bits.vaddr))
1102  st_access.bits.tag := RegNext(get_tag(mainPipe.io.store_req.bits.addr))
1103  val access_info = ld_access.toSeq ++ Seq(st_access)
1104  val early_replace = RegNext(missQueue.io.debug_early_replace)
1105  val access_early_replace = access_info.map {
1106    case acc =>
1107      Cat(early_replace.map {
1108        case r =>
1109          acc.valid && r.valid &&
1110            acc.bits.tag === r.bits.tag &&
1111            acc.bits.idx === r.bits.idx
1112      })
1113  }
1114  XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace)))
1115
1116  val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents)
1117  generatePerfEvent()
1118}
1119
1120class AMOHelper() extends ExtModule {
1121  val clock  = IO(Input(Clock()))
1122  val enable = IO(Input(Bool()))
1123  val cmd    = IO(Input(UInt(5.W)))
1124  val addr   = IO(Input(UInt(64.W)))
1125  val wdata  = IO(Input(UInt(64.W)))
1126  val mask   = IO(Input(UInt(8.W)))
1127  val rdata  = IO(Output(UInt(64.W)))
1128}
1129
1130class DCacheWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter {
1131
1132  val useDcache = coreParams.dcacheParametersOpt.nonEmpty
1133  val clientNode = if (useDcache) TLIdentityNode() else null
1134  val dcache = if (useDcache) LazyModule(new DCache()) else null
1135  if (useDcache) {
1136    clientNode := dcache.clientNode
1137  }
1138
1139  lazy val module = new LazyModuleImp(this) with HasPerfEvents {
1140    val io = IO(new DCacheIO)
1141    val perfEvents = if (!useDcache) {
1142      // a fake dcache which uses dpi-c to access memory, only for debug usage!
1143      val fake_dcache = Module(new FakeDCache())
1144      io <> fake_dcache.io
1145      Seq()
1146    }
1147    else {
1148      io <> dcache.module.io
1149      dcache.module.getPerfEvents
1150    }
1151    generatePerfEvent()
1152  }
1153}
1154