xref: /XiangShan/src/main/scala/xiangshan/backend/rob/Rob.scala (revision 1bf9a598063a59cd2a335db7cba7a241f7bdfc98)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.backend.rob
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import chisel3.experimental.BundleLiterals._
23import difftest._
24import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
25import utility._
26import utils._
27import xiangshan._
28import xiangshan.backend.GPAMemEntry
29import xiangshan.backend.{BackendParams, RatToVecExcpMod, RegWriteFromRab, VecExcpInfo}
30import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
31import xiangshan.backend.fu.{FuConfig, FuType}
32import xiangshan.frontend.FtqPtr
33import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr}
34import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
35import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo}
36import xiangshan.backend.fu.vector.Bundles.VType
37import xiangshan.backend.rename.SnapshotGenerator
38import yunsuan.VfaluType
39import xiangshan.backend.rob.RobBundles._
40import xiangshan.backend.trace._
41import chisel3.experimental.BundleLiterals._
42
43class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter {
44  override def shouldBeInlined: Boolean = false
45
46  lazy val module = new RobImp(this)(p, params)
47}
48
49class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper)
50  with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents with HasCriticalErrors {
51
52  private val LduCnt = params.LduCnt
53  private val StaCnt = params.StaCnt
54  private val HyuCnt = params.HyuCnt
55
56  val io = IO(new Bundle() {
57    val hartId = Input(UInt(hartIdLen.W))
58    val redirect = Input(Valid(new Redirect))
59    val enq = new RobEnqIO
60    val flushOut = ValidIO(new Redirect)
61    val exception = ValidIO(new ExceptionInfo)
62    // exu + brq
63    val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
64    val exuWriteback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
65    val writebackNums = Flipped(Vec(writeback.size - params.StdCnt, ValidIO(UInt(writeback.size.U.getWidth.W))))
66    val writebackNeedFlush = Input(Vec(params.allExuParams.filter(_.needExceptionGen).length, Bool()))
67    val commits = Output(new RobCommitIO)
68    val rabCommits = Output(new RabCommitIO)
69    val diffCommits = if (backendParams.basicDebugEn) Some(Output(new DiffCommitIO)) else None
70    val isVsetFlushPipe = Output(Bool())
71    val lsq = new RobLsqIO
72    val robDeqPtr = Output(new RobPtr)
73    val csr = new RobCSRIO
74    val snpt = Input(new SnapshotPort)
75    val robFull = Output(Bool())
76    val headNotReady = Output(Bool())
77    val cpu_halt = Output(Bool())
78    val wfi_enable = Input(Bool())
79    val toDecode = new Bundle {
80      val isResumeVType = Output(Bool())
81      val walkToArchVType = Output(Bool())
82      val walkVType = ValidIO(VType())
83      val commitVType = new Bundle {
84        val vtype = ValidIO(VType())
85        val hasVsetvl = Output(Bool())
86      }
87    }
88    val fromVecExcpMod = Input(new Bundle {
89      val busy = Bool()
90    })
91    val readGPAMemAddr = ValidIO(new Bundle {
92      val ftqPtr = new FtqPtr()
93      val ftqOffset = UInt(log2Up(PredictWidth).W)
94    })
95    val readGPAMemData = Input(new GPAMemEntry)
96    val vstartIsZero = Input(Bool())
97
98    val toVecExcpMod = Output(new Bundle {
99      val logicPhyRegMap = Vec(RabCommitWidth, ValidIO(new RegWriteFromRab))
100      val excpInfo = ValidIO(new VecExcpInfo)
101    })
102    val criticalError = Input(Bool())
103    val debug_ls = Flipped(new DebugLSIO)
104    val debugRobHead = Output(new DynInst)
105    val debugEnqLsq = Input(new LsqEnqIO)
106    val debugHeadLsIssue = Input(Bool())
107    val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo))
108    val debugTopDown = new Bundle {
109      val toCore = new RobCoreTopDownIO
110      val toDispatch = new RobDispatchTopDownIO
111      val robHeadLqIdx = Valid(new LqPtr)
112    }
113    val debugRolling = new RobDebugRollingIO
114
115    // store event difftest information
116    val storeDebugInfo = Vec(EnsbufferWidth, new Bundle {
117      val robidx = Input(new RobPtr)
118      val pc     = Output(UInt(VAddrBits.W))
119    })
120  })
121
122  val exuWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(!_.bits.params.hasStdFu).toSeq
123  val stdWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(_.bits.params.hasStdFu).toSeq
124  val fflagsWBs = io.exuWriteback.filter(x => x.bits.fflags.nonEmpty).toSeq
125  val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty).toSeq
126  val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty).toSeq
127  val vxsatWBs = io.exuWriteback.filter(x => x.bits.vxsat.nonEmpty).toSeq
128  val branchWBs = io.exuWriteback.filter(_.bits.params.hasBrhFu).toSeq
129  val csrWBs = io.exuWriteback.filter(x => x.bits.params.hasCSR).toSeq
130
131  val numExuWbPorts = exuWBs.length
132  val numStdWbPorts = stdWBs.length
133  val bankAddrWidth = log2Up(CommitWidth)
134
135  println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth")
136
137  val rab = Module(new RenameBuffer(RabSize))
138  val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize))
139  val bankNum = 8
140  assert(RobSize % bankNum == 0, "RobSize % bankNum must be 0")
141  val robEntries = RegInit(VecInit.fill(RobSize)((new RobEntryBundle).Lit(_.valid -> false.B)))
142  // pointers
143  // For enqueue ptr, we don't duplicate it since only enqueue needs it.
144  val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr))
145  val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr))
146  val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr)))
147  val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr))
148  val walkPtrTrue = Reg(new RobPtr)
149  val lastWalkPtr = Reg(new RobPtr)
150  val allowEnqueue = RegInit(true.B)
151  val vecExcpInfo = RegInit(ValidIO(new VecExcpInfo).Lit(
152    _.valid -> false.B,
153  ))
154
155  /**
156   * Enqueue (from dispatch)
157   */
158  // special cases
159  val hasBlockBackward = RegInit(false.B)
160  val hasWaitForward = RegInit(false.B)
161  val doingSvinval = RegInit(false.B)
162  val enqPtr = enqPtrVec(0)
163  val deqPtr = deqPtrVec(0)
164  val walkPtr = walkPtrVec(0)
165  val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop)))))
166  io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq && !io.fromVecExcpMod.busy
167  io.enq.resp := allocatePtrVec
168  val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept))
169  val timer = GTimer()
170  // robEntries enqueue
171  for (i <- 0 until RobSize) {
172    val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2))
173    assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot")
174    when(enqOH.asUInt.orR && !io.redirect.valid){
175      connectEnq(robEntries(i), Mux1H(enqOH, io.enq.req.map(_.bits)))
176    }
177  }
178  // robBanks0 include robidx : 0 8 16 24 32 ...
179  val robBanks = VecInit((0 until bankNum).map(i => VecInit(robEntries.zipWithIndex.filter(_._2 % bankNum == i).map(_._1))))
180  // each Bank has 20 Entries, read addr is one hot
181  // all banks use same raddr
182  val eachBankEntrieNum = robBanks(0).length
183  val robBanksRaddrThisLine = RegInit(1.U(eachBankEntrieNum.W))
184  val robBanksRaddrNextLine = Wire(UInt(eachBankEntrieNum.W))
185  robBanksRaddrThisLine := robBanksRaddrNextLine
186  val bankNumWidth = log2Up(bankNum)
187  val deqPtrWidth = deqPtr.value.getWidth
188  val robIdxThisLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth), i.U(bankNumWidth.W))))
189  val robIdxNextLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth) + 1.U, i.U(bankNumWidth.W))))
190  // robBanks read
191  val robBanksRdataThisLine = VecInit(robBanks.map{ case bank =>
192    Mux1H(robBanksRaddrThisLine, bank)
193  })
194  val robBanksRdataNextLine = VecInit(robBanks.map{ case bank =>
195    val shiftBank = bank.drop(1) :+ bank(0)
196    Mux1H(robBanksRaddrThisLine, shiftBank)
197  })
198  val robBanksRdataThisLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle))
199  val robBanksRdataNextLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle))
200  val commitValidThisLine = Wire(Vec(CommitWidth, Bool()))
201  val hasCommitted = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
202  val donotNeedWalk = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
203  val allCommitted = Wire(Bool())
204
205  when(allCommitted) {
206    hasCommitted := 0.U.asTypeOf(hasCommitted)
207  }.elsewhen(io.commits.isCommit){
208    for (i <- 0 until CommitWidth){
209      hasCommitted(i) := commitValidThisLine(i) || hasCommitted(i)
210    }
211  }
212  allCommitted := io.commits.isCommit && commitValidThisLine.last
213  val walkPtrHead = Wire(new RobPtr)
214  val changeBankAddrToDeqPtr = (walkPtrVec.head + CommitWidth.U) > lastWalkPtr
215  when(io.redirect.valid){
216    robBanksRaddrNextLine := UIntToOH(walkPtrHead.value(walkPtrHead.value.getWidth-1, bankAddrWidth))
217  }.elsewhen(allCommitted || io.commits.isWalk && !changeBankAddrToDeqPtr){
218    robBanksRaddrNextLine := Mux(robBanksRaddrThisLine.head(1) === 1.U, 1.U, robBanksRaddrThisLine << 1)
219  }.elsewhen(io.commits.isWalk && changeBankAddrToDeqPtr){
220    robBanksRaddrNextLine := UIntToOH(deqPtr.value(deqPtr.value.getWidth-1, bankAddrWidth))
221  }.otherwise(
222    robBanksRaddrNextLine := robBanksRaddrThisLine
223  )
224  val robDeqGroup = Reg(Vec(bankNum, new RobCommitEntryBundle))
225  val rawInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq
226  val commitInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1,0)))).toSeq
227  val walkInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(walkPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq
228  for (i <- 0 until CommitWidth) {
229    connectCommitEntry(robDeqGroup(i), robBanksRdataThisLineUpdate(i))
230    when(allCommitted){
231      connectCommitEntry(robDeqGroup(i), robBanksRdataNextLineUpdate(i))
232    }
233  }
234
235  // In each robentry, the ftqIdx and ftqOffset belong to the first instruction that was compressed,
236  // That is Necessary when exceptions happen.
237  // Update the ftqOffset to correctly notify the frontend which instructions have been committed.
238  // Instructions in multiple Ftq entries compressed to one RobEntry do not occur.
239  for (i <- 0 until CommitWidth) {
240    val lastOffset = (rawInfo(i).traceBlockInPipe.iretire - (1.U << rawInfo(i).traceBlockInPipe.ilastsize.asUInt).asUInt) + rawInfo(i).ftqOffset
241    commitInfo(i).ftqOffset := lastOffset
242  }
243
244  // data for debug
245  // Warn: debug_* prefix should not exist in generated verilog.
246  val debug_microOp = DebugMem(RobSize, new DynInst)
247  val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W))) //for debug
248  val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle)) //for debug
249  val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init)))
250  val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init)))
251  val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B))
252  val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B))
253
254  val isEmpty = enqPtr === deqPtr
255  val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _)
256  val snapshotPtrVec = Wire(Vec(CommitWidth, new RobPtr))
257  snapshotPtrVec(0) := io.enq.req(0).bits.robIdx
258  for (i <- 1 until CommitWidth) {
259    snapshotPtrVec(i) := snapshotPtrVec(0) + i.U
260  }
261  val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec)
262  val debug_lsIssue = WireDefault(debug_lsIssued)
263  debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue
264
265  /**
266   * states of Rob
267   */
268  val s_idle :: s_walk :: Nil = Enum(2)
269  val state = RegInit(s_idle)
270  val state_next = Wire(chiselTypeOf(state))
271
272  val tip_computing :: tip_stalled :: tip_walk :: tip_drained :: Nil = Enum(4)
273  val tip_state = WireInit(0.U(4.W))
274  when(!isEmpty) {  // One or more inst in ROB
275    when(state === s_walk || io.redirect.valid) {
276      tip_state := tip_walk
277    }.elsewhen(io.commits.isCommit && PopCount(io.commits.commitValid) =/= 0.U) {
278      tip_state := tip_computing
279    }.otherwise {
280      tip_state := tip_stalled
281    }
282  }.otherwise {
283    tip_state := tip_drained
284  }
285  class TipEntry()(implicit p: Parameters) extends XSBundle {
286    val state = UInt(4.W)
287    val commits = new RobCommitIO()      // info of commit
288    val redirect = Valid(new Redirect)   // info of redirect
289    val redirect_pc = UInt(VAddrBits.W)  // PC of the redirect uop
290    val debugLsInfo = new DebugLsInfo()
291  }
292  val tip_table = ChiselDB.createTable("Tip_" + p(XSCoreParamsKey).HartId.toString, new TipEntry)
293  val tip_data = Wire(new TipEntry())
294  tip_data.state := tip_state
295  tip_data.commits := io.commits
296  tip_data.redirect := io.redirect
297  tip_data.redirect_pc := debug_microOp(io.redirect.bits.robIdx.value).pc
298  tip_data.debugLsInfo := debug_lsInfo(io.commits.robIdx(0).value)
299  tip_table.log(tip_data, true.B, "", clock, reset)
300
301  val exceptionGen = Module(new ExceptionGen(params))
302  val exceptionDataRead = exceptionGen.io.state
303  val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W)))
304  val vxsatDataRead = Wire(Vec(CommitWidth, Bool()))
305  io.robDeqPtr := deqPtr
306  io.debugRobHead := debug_microOp(deqPtr.value)
307
308  /**
309   * connection of [[rab]]
310   */
311  rab.io.redirect.valid := io.redirect.valid
312
313  rab.io.req.zip(io.enq.req).map { case (dest, src) =>
314    dest.bits := src.bits
315    dest.valid := src.valid && io.enq.canAccept
316  }
317
318  val walkDestSizeDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W))))
319  val realDestSizeSeq = VecInit(robDeqGroup.zip(hasCommitted).map{case (r, h) => Mux(h, 0.U, r.realDestSize)})
320  val walkDestSizeSeq = VecInit(robDeqGroup.zip(donotNeedWalk).map{case (r, d) => Mux(d, 0.U, r.realDestSize)})
321  val commitSizeSumSeq = VecInit((0 until CommitWidth).map(i => realDestSizeSeq.take(i + 1).reduce(_ +& _)))
322  val walkSizeSumSeq   = VecInit((0 until CommitWidth).map(i => walkDestSizeSeq.take(i + 1).reduce(_ +& _)))
323  val commitSizeSumCond = VecInit(commitValidThisLine.zip(hasCommitted).map{case (c,h) => (c || h) && io.commits.isCommit})
324  val walkSizeSumCond   = VecInit(io.commits.walkValid.zip(donotNeedWalk).map{case (w,d) => (w || d) && io.commits.isWalk})
325  val commitSizeSum = PriorityMuxDefault(commitSizeSumCond.reverse.zip(commitSizeSumSeq.reverse), 0.U)
326  val walkSizeSum   = PriorityMuxDefault(walkSizeSumCond.reverse.zip(walkSizeSumSeq.reverse), 0.U)
327
328  val deqVlsExceptionNeedCommit = RegInit(false.B)
329  val deqVlsExceptionCommitSize = RegInit(0.U(log2Up(MaxUopSize + 1).W))
330  val deqVlsCanCommit= RegInit(false.B)
331  rab.io.fromRob.commitSize := Mux(deqVlsExceptionNeedCommit, deqVlsExceptionCommitSize, commitSizeSum)
332  rab.io.fromRob.walkSize := walkSizeSum
333  rab.io.fromRob.vecLoadExcp.valid := RegNext(exceptionDataRead.valid && exceptionDataRead.bits.isVecLoad)
334  rab.io.fromRob.vecLoadExcp.bits.isStrided := RegEnable(exceptionDataRead.bits.isStrided, exceptionDataRead.valid)
335  rab.io.fromRob.vecLoadExcp.bits.isVlm := RegEnable(exceptionDataRead.bits.isVlm, exceptionDataRead.valid)
336  rab.io.snpt := io.snpt
337  rab.io.snpt.snptEnq := snptEnq
338
339  io.rabCommits := rab.io.commits
340  io.diffCommits.foreach(_ := rab.io.diffCommits.get)
341
342  /**
343   * connection of [[vtypeBuffer]]
344   */
345
346  vtypeBuffer.io.redirect.valid := io.redirect.valid
347
348  vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) =>
349    sink.valid := source.valid && io.enq.canAccept
350    sink.bits := source.bits
351  }
352
353  private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset })
354  private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(walkInfo).map { case (valid, info) => io.commits.isWalk && valid && info.isVset })
355  vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec)
356  vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec)
357  vtypeBuffer.io.snpt := io.snpt
358  vtypeBuffer.io.snpt.snptEnq := snptEnq
359  io.toDecode.isResumeVType := vtypeBuffer.io.toDecode.isResumeVType
360  io.toDecode.walkToArchVType := vtypeBuffer.io.toDecode.walkToArchVType
361  io.toDecode.commitVType := vtypeBuffer.io.toDecode.commitVType
362  io.toDecode.walkVType := vtypeBuffer.io.toDecode.walkVType
363
364  // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B
365  // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty.
366  when(isEmpty) {
367    hasBlockBackward := false.B
368  }
369  // When any instruction commits, hasNoSpecExec should be set to false.B
370  when(io.commits.hasWalkInstr || io.commits.hasCommitInstr) {
371    hasWaitForward := false.B
372  }
373
374  // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing.
375  // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle.
376  // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts.
377  val hasWFI = RegInit(false.B)
378  io.cpu_halt := hasWFI
379  // WFI Timeout: 2^20 = 1M cycles
380  val wfi_cycles = RegInit(0.U(20.W))
381  when(hasWFI) {
382    wfi_cycles := wfi_cycles + 1.U
383  }.elsewhen(!hasWFI && RegNext(hasWFI)) {
384    wfi_cycles := 0.U
385  }
386  val wfi_timeout = wfi_cycles.andR
387  when(RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) {
388    hasWFI := false.B
389  }
390
391  for (i <- 0 until RenameWidth) {
392    // we don't check whether io.redirect is valid here since redirect has higher priority
393    when(canEnqueue(i)) {
394      val enqUop = io.enq.req(i).bits
395      val enqIndex = allocatePtrVec(i).value
396      // store uop in data module and debug_microOp Vec
397      debug_microOp(enqIndex) := enqUop
398      debug_microOp(enqIndex).debugInfo.dispatchTime := timer
399      debug_microOp(enqIndex).debugInfo.enqRsTime := timer
400      debug_microOp(enqIndex).debugInfo.selectTime := timer
401      debug_microOp(enqIndex).debugInfo.issueTime := timer
402      debug_microOp(enqIndex).debugInfo.writebackTime := timer
403      debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer
404      debug_microOp(enqIndex).debugInfo.tlbRespTime := timer
405      debug_lsInfo(enqIndex) := DebugLsInfo.init
406      debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init
407      debug_lqIdxValid(enqIndex) := false.B
408      debug_lsIssued(enqIndex) := false.B
409      when (enqUop.waitForward) {
410        hasWaitForward := true.B
411      }
412      val enqTriggerActionIsDebugMode = TriggerAction.isDmode(io.enq.req(i).bits.trigger)
413      val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR
414      // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process
415      when(!enqTriggerActionIsDebugMode && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) {
416        doingSvinval := true.B
417      }
418      // the end instruction of Svinval enqs so clear doingSvinval
419      when(!enqTriggerActionIsDebugMode && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) {
420        doingSvinval := false.B
421      }
422      // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear
423      assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe) || enqUop.isNotSvinval))
424      when(enqUop.isWFI && !enqHasException && !enqTriggerActionIsDebugMode) {
425        hasWFI := true.B
426      }
427
428      robEntries(enqIndex).mmio := false.B
429      robEntries(enqIndex).vls := enqUop.vlsInstr
430    }
431  }
432
433  for (i <- 0 until RenameWidth) {
434    val enqUop = io.enq.req(i)
435    when(enqUop.valid && enqUop.bits.blockBackward && io.enq.canAccept) {
436      hasBlockBackward := true.B
437    }
438  }
439
440  val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U)
441  io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR)
442
443  when(!io.wfi_enable) {
444    hasWFI := false.B
445  }
446  // sel vsetvl's flush position
447  val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3)
448  val vsetvlState = RegInit(vs_idle)
449
450  val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr))
451  val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W)))
452  val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr))
453
454  val enq0 = io.enq.req(0)
455  val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0)
456  val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe
457  val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map { case (req, fire) => FuType.isVArith(req.bits.fuType) && fire }
458  // for vs_idle
459  val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType)))
460  // for vs_waitVinstr
461  val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1)
462  val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req)
463  when(vsetvlState === vs_idle) {
464    firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr
465    firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset
466    firstVInstrRobIdx := firstVInstrIdle.bits.robIdx
467  }.elsewhen(vsetvlState === vs_waitVinstr) {
468    when(Cat(enqIsVInstrOrVset).orR) {
469      firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr
470      firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset
471      firstVInstrRobIdx := firstVInstrWait.bits.robIdx
472    }
473  }
474
475  val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR
476  when(vsetvlState === vs_idle && !io.redirect.valid) {
477    when(enq0IsVsetFlush) {
478      vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr)
479    }
480  }.elsewhen(vsetvlState === vs_waitVinstr) {
481    when(io.redirect.valid) {
482      vsetvlState := vs_idle
483    }.elsewhen(Cat(enqIsVInstrOrVset).orR) {
484      vsetvlState := vs_waitFlush
485    }
486  }.elsewhen(vsetvlState === vs_waitFlush) {
487    when(io.redirect.valid) {
488      vsetvlState := vs_idle
489    }
490  }
491
492  // lqEnq
493  io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) =>
494    when(io.debugEnqLsq.canAccept && alloc && req.valid) {
495      debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx
496      debug_lqIdxValid(req.bits.robIdx.value) := true.B
497    }
498  }
499
500  // lsIssue
501  when(io.debugHeadLsIssue) {
502    debug_lsIssued(deqPtr.value) := true.B
503  }
504
505  /**
506   * Writeback (from execution units)
507   */
508  for (wb <- exuWBs) {
509    when(wb.valid) {
510      val wbIdx = wb.bits.robIdx.value
511      debug_exuData(wbIdx) := wb.bits.data(0)
512      debug_exuDebug(wbIdx) := wb.bits.debug
513      debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime
514      debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime
515      debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime
516      debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime
517
518      // debug for lqidx and sqidx
519      debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr))
520      debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr))
521
522      val debug_Uop = debug_microOp(wbIdx)
523      XSInfo(true.B,
524        p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " +
525          p"data 0x${Hexadecimal(wb.bits.data(0))} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " +
526          p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n"
527      )
528    }
529  }
530
531  val writebackNum = PopCount(exuWBs.map(_.valid))
532  XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum)
533
534  for (i <- 0 until LoadPipelineWidth) {
535    when(RegNext(io.lsq.mmio(i))) {
536      robEntries(RegEnable(io.lsq.uop(i).robIdx, io.lsq.mmio(i)).value).mmio := true.B
537    }
538  }
539
540
541  /**
542   * RedirectOut: Interrupt and Exceptions
543   */
544  val deqDispatchData = robEntries(deqPtr.value)
545  val debug_deqUop = debug_microOp(deqPtr.value)
546
547  val deqPtrEntry = robDeqGroup(deqPtr.value(bankAddrWidth-1,0))
548  val deqPtrEntryValid = deqPtrEntry.commit_v
549  val deqHasFlushed = RegInit(false.B)
550  val intrBitSetReg = RegNext(io.csr.intrBitSet)
551  val intrEnable = intrBitSetReg && !hasWaitForward && deqPtrEntry.interrupt_safe && !deqHasFlushed
552  val deqNeedFlush = deqPtrEntry.needFlush && deqPtrEntry.commit_v && deqPtrEntry.commit_w
553  val deqHitExceptionGenState = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr
554  val deqNeedFlushAndHitExceptionGenState = deqNeedFlush && deqHitExceptionGenState
555  val exceptionGenStateIsException = exceptionDataRead.bits.exceptionVec.asUInt.orR || exceptionDataRead.bits.singleStep || TriggerAction.isDmode(exceptionDataRead.bits.trigger)
556  val deqHasException = deqNeedFlushAndHitExceptionGenState && exceptionGenStateIsException
557  val deqHasFlushPipe = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.flushPipe && !deqHasException && (!deqPtrEntry.isVls || RegNext(RegNext(deqPtrEntry.commit_w)))
558  val deqHasReplayInst = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.replayInst
559  val deqIsVlsException = deqHasException && deqPtrEntry.isVls && !exceptionDataRead.bits.isEnqExcp
560  // delay 2 cycle wait exceptionGen out
561  // vls exception can be committed only when RAB commit all its reg pairs
562  deqVlsCanCommit := RegNext(RegNext(deqIsVlsException && deqPtrEntry.commit_w)) && rab.io.status.commitEnd
563
564  // lock at assertion of deqVlsExceptionNeedCommit until condition not assert
565  val deqVlsExcpLock = RegInit(false.B)
566  val handleVlsExcp = deqIsVlsException && deqVlsCanCommit && !deqVlsExcpLock && state === s_idle
567  when(handleVlsExcp) {
568    deqVlsExcpLock := true.B
569  }.elsewhen(deqPtrVec.head =/= deqPtrVec_next.head) {
570    deqVlsExcpLock := false.B
571  }
572
573  // Only assert once when deqVlsExcp occurs until condition not assert to avoid multi message passed to RAB
574  when (deqVlsExceptionNeedCommit) {
575    deqVlsExceptionNeedCommit := false.B
576  }.elsewhen(handleVlsExcp){
577    deqVlsExceptionCommitSize := deqPtrEntry.realDestSize
578    deqVlsExceptionNeedCommit := true.B
579  }
580
581  XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n")
582  XSDebug(deqHasException && TriggerAction.isDmode(exceptionDataRead.bits.trigger), "Debug Mode: Deq has trigger entry debug Mode\n")
583
584  val isFlushPipe = deqPtrEntry.commit_w && (deqHasFlushPipe || deqHasReplayInst)
585
586  val isVsetFlushPipe = deqPtrEntry.commit_w && deqHasFlushPipe && exceptionDataRead.bits.isVset
587  //  val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush)
588  val needModifyFtqIdxOffset = false.B
589  io.isVsetFlushPipe := isVsetFlushPipe
590  // io.flushOut will trigger redirect at the next cycle.
591  // Block any redirect or commit at the next cycle.
592  val lastCycleFlush = RegNext(io.flushOut.valid)
593
594  io.flushOut.valid := (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException && (!deqIsVlsException || deqVlsCanCommit) || isFlushPipe) && !lastCycleFlush
595  io.flushOut.bits := DontCare
596  io.flushOut.bits.isRVC := deqDispatchData.isRVC
597  io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr)
598  io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx)
599  io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset)
600  io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || deqHasException || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next"
601  io.flushOut.bits.interrupt := true.B
602  XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable)
603  XSPerfAccumulate("exception_num", io.flushOut.valid && deqHasException)
604  XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe)
605  XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst)
606
607  val exceptionHappen = (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException && (!deqIsVlsException || deqVlsCanCommit)) && !lastCycleFlush
608  io.exception.valid := RegNext(exceptionHappen)
609  io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen)
610  io.exception.bits.gpaddr := io.readGPAMemData.gpaddr
611  io.exception.bits.isForVSnonLeafPTE := io.readGPAMemData.isForVSnonLeafPTE
612  io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen)
613  io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen)
614  io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen)
615  // fetch trigger fire or execute ebreak
616  io.exception.bits.isPcBkpt := RegEnable(
617    exceptionDataRead.bits.exceptionVec(ExceptionNO.EX_BP) && (
618      exceptionDataRead.bits.isEnqExcp ||
619      exceptionDataRead.bits.trigger === TriggerAction.None
620    ),
621    exceptionHappen,
622  )
623  io.exception.bits.isFetchMalAddr := RegEnable(exceptionDataRead.bits.isFetchMalAddr && deqHasException, exceptionHappen)
624  io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen)
625  io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen)
626  io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen)
627  io.exception.bits.isHls := RegEnable(deqDispatchData.isHls, exceptionHappen)
628  io.exception.bits.vls := RegEnable(robEntries(deqPtr.value).vls, exceptionHappen)
629  io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen)
630
631  // data will be one cycle after valid
632  io.readGPAMemAddr.valid := exceptionHappen
633  io.readGPAMemAddr.bits.ftqPtr := exceptionDataRead.bits.ftqPtr
634  io.readGPAMemAddr.bits.ftqOffset := exceptionDataRead.bits.ftqOffset
635
636  XSDebug(io.flushOut.valid,
637    p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " +
638      p"excp $deqHasException flushPipe $isFlushPipe " +
639      p"Trap_target 0x${Hexadecimal(io.csr.trapTarget.pc)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n")
640
641
642  /**
643   * Commits (and walk)
644   * They share the same width.
645   */
646  // T redirect.valid, T+1 use walkPtrVec read robEntries, T+2 start walk, shouldWalkVec used in T+2
647  val shouldWalkVec = Wire(Vec(CommitWidth,Bool()))
648  val walkingPtrVec = RegNext(walkPtrVec)
649  when(io.redirect.valid){
650    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
651  }.elsewhen(RegNext(io.redirect.valid)){
652    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
653  }.elsewhen(state === s_walk){
654    shouldWalkVec := VecInit(walkingPtrVec.map(_ <= lastWalkPtr).zip(donotNeedWalk).map(x => x._1 && !x._2))
655  }.otherwise(
656    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
657  )
658  val walkFinished = walkPtrTrue > lastWalkPtr
659  rab.io.fromRob.walkEnd := state === s_walk && walkFinished
660  vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished
661
662  require(RenameWidth <= CommitWidth)
663
664  // wiring to csr
665  val (wflags, dirtyFs) = (0 until CommitWidth).map(i => {
666    val v = io.commits.commitValid(i)
667    val info = io.commits.info(i)
668    (v & info.wflags, v & info.dirtyFs)
669  }).unzip
670  val fflags = Wire(Valid(UInt(5.W)))
671  fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR
672  fflags.bits := wflags.zip(fflagsDataRead).map({
673    case (w, f) => Mux(w, f, 0.U)
674  }).reduce(_ | _)
675  val dirtyVs = (0 until CommitWidth).map(i => {
676    val v = io.commits.commitValid(i)
677    val info = io.commits.info(i)
678    v & info.dirtyVs
679  })
680  val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR
681  val dirty_vs = io.commits.isCommit && VecInit(dirtyVs).asUInt.orR
682
683  val resetVstart = dirty_vs && !io.vstartIsZero
684
685  vecExcpInfo.valid := exceptionHappen && exceptionDataRead.bits.vstartEn && exceptionDataRead.bits.isVecLoad && !exceptionDataRead.bits.isEnqExcp
686  when (exceptionHappen) {
687    vecExcpInfo.bits.nf := exceptionDataRead.bits.nf
688    vecExcpInfo.bits.vsew := exceptionDataRead.bits.vsew
689    vecExcpInfo.bits.veew := exceptionDataRead.bits.veew
690    vecExcpInfo.bits.vlmul := exceptionDataRead.bits.vlmul
691    vecExcpInfo.bits.isStride := exceptionDataRead.bits.isStrided
692    vecExcpInfo.bits.isIndexed := exceptionDataRead.bits.isIndexed
693    vecExcpInfo.bits.isWhole := exceptionDataRead.bits.isWhole
694    vecExcpInfo.bits.isVlm := exceptionDataRead.bits.isVlm
695    vecExcpInfo.bits.vstart := exceptionDataRead.bits.vstart
696  }
697
698  io.csr.vstart.valid := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstartEn, resetVstart))
699  io.csr.vstart.bits := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstart, 0.U))
700
701  val vxsat = Wire(Valid(Bool()))
702  vxsat.valid := io.commits.isCommit && vxsat.bits
703  vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map {
704    case (valid, vxsat) => valid & vxsat
705  }.reduce(_ | _)
706
707  // when mispredict branches writeback, stop commit in the next 2 cycles
708  // TODO: don't check all exu write back
709  val misPredWb = Cat(VecInit(redirectWBs.map(wb =>
710    wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid
711  ).toSeq)).orR
712  val misPredBlockCounter = Reg(UInt(3.W))
713  misPredBlockCounter := Mux(misPredWb,
714    "b111".U,
715    misPredBlockCounter >> 1.U
716  )
717  val misPredBlock = misPredBlockCounter(0)
718  val deqFlushBlockCounter = Reg(UInt(3.W))
719  val deqFlushBlock = deqFlushBlockCounter(0)
720  val deqHasCommitted = io.commits.isCommit && io.commits.commitValid(0)
721  val deqHitRedirectReg = RegNext(io.redirect.valid && io.redirect.bits.robIdx === deqPtr)
722  val criticalErrorState = RegEnable(true.B, false.B, io.criticalError)
723  when(deqNeedFlush && deqHitRedirectReg){
724    deqFlushBlockCounter := "b111".U
725  }.otherwise{
726    deqFlushBlockCounter := deqFlushBlockCounter >> 1.U
727  }
728  when(deqHasCommitted){
729    deqHasFlushed := false.B
730  }.elsewhen(deqNeedFlush && io.flushOut.valid && !io.flushOut.bits.flushItself()){
731    deqHasFlushed := true.B
732  }
733  val blockCommit = misPredBlock || lastCycleFlush || hasWFI || io.redirect.valid ||
734    (deqNeedFlush && !deqHasFlushed) || deqFlushBlock || criticalErrorState
735
736  io.commits.isWalk := state === s_walk
737  io.commits.isCommit := state === s_idle && !blockCommit
738
739  val walk_v = VecInit(walkingPtrVec.map(ptr => robEntries(ptr.value).valid))
740  val commit_vDeqGroup = VecInit(robDeqGroup.map(_.commit_v))
741  val commit_wDeqGroup = VecInit(robDeqGroup.map(_.commit_w))
742  val realCommitLast = deqPtrVec(0).lineHeadPtr + Fill(bankAddrWidth, 1.U)
743  val commit_block = VecInit((0 until CommitWidth).map(i => !commit_wDeqGroup(i) && !hasCommitted(i)))
744  val allowOnlyOneCommit = VecInit(robDeqGroup.map(x => x.commit_v && x.needFlush)).asUInt.orR || intrBitSetReg
745  // for instructions that may block others, we don't allow them to commit
746  io.commits.commitValid := PriorityMux(commitValidThisLine, (0 until CommitWidth).map(i => (commitValidThisLine.asUInt >> i).asUInt.asTypeOf(io.commits.commitValid)))
747
748  for (i <- 0 until CommitWidth) {
749    // defaults: state === s_idle and instructions commit
750    // when intrBitSetReg, allow only one instruction to commit at each clock cycle
751    val isBlocked = intrEnable || (deqNeedFlush && !deqHasFlushed && !deqHasFlushPipe)
752    val isBlockedByOlder = if (i != 0) commit_block.asUInt(i, 0).orR || allowOnlyOneCommit && !hasCommitted.asUInt(i - 1, 0).andR else false.B
753    commitValidThisLine(i) := commit_vDeqGroup(i) && commit_wDeqGroup(i) && !isBlocked && !isBlockedByOlder && !hasCommitted(i)
754    io.commits.info(i) := commitInfo(i)
755    io.commits.robIdx(i) := deqPtrVec(i)
756
757    io.commits.walkValid(i) := shouldWalkVec(i)
758    when(state === s_walk) {
759      when(io.commits.isWalk && state === s_walk && shouldWalkVec(i)) {
760        XSError(!walk_v(i), s"The walking entry($i) should be valid\n")
761      }
762    }
763
764    XSInfo(io.commits.isCommit && io.commits.commitValid(i),
765      "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n",
766      debug_microOp(deqPtrVec(i).value).pc,
767      io.commits.info(i).rfWen,
768      io.commits.info(i).debug_ldest.getOrElse(0.U),
769      io.commits.info(i).debug_pdest.getOrElse(0.U),
770      debug_exuData(deqPtrVec(i).value),
771      fflagsDataRead(i),
772      vxsatDataRead(i)
773    )
774    XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n",
775      debug_microOp(walkPtrVec(i).value).pc,
776      io.commits.info(i).rfWen,
777      io.commits.info(i).debug_ldest.getOrElse(0.U),
778      debug_exuData(walkPtrVec(i).value)
779    )
780  }
781
782  // sync fflags/dirty_fs/vxsat to csr
783  io.csr.fflags   := RegNextWithEnable(fflags)
784  io.csr.dirty_fs := GatedValidRegNext(dirty_fs)
785  io.csr.dirty_vs := GatedValidRegNext(dirty_vs)
786  io.csr.vxsat    := RegNextWithEnable(vxsat)
787
788  // commit load/store to lsq
789  val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD))
790  // TODO: Check if meet the require that only set scommit when commit scala store uop
791  val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE && !robEntries(deqPtrVec(i).value).vls ))
792  io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U))
793  io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U))
794  // indicate a pending load or store
795  io.lsq.pendingUncacheld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).mmio)
796  io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid)
797  // TODO: Check if need deassert pendingst when it is vst
798  io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid)
799  // TODO: Check if set correctly when vector store is at the head of ROB
800  io.lsq.pendingVst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).vls)
801  io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0))
802  io.lsq.pendingPtr := RegNext(deqPtr)
803  io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head)
804
805  /**
806   * state changes
807   * (1) redirect: switch to s_walk
808   * (2) walk: when walking comes to the end, switch to s_idle
809   */
810  state_next := Mux(
811    io.redirect.valid || RegNext(io.redirect.valid), s_walk,
812    Mux(
813      state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle,
814      state
815    )
816  )
817  XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle)
818  XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk)
819  XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle)
820  XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk)
821  state := state_next
822
823  /**
824   * pointers and counters
825   */
826  val deqPtrGenModule = Module(new NewRobDeqPtrWrapper)
827  deqPtrGenModule.io.state := state
828  deqPtrGenModule.io.deq_v := commit_vDeqGroup
829  deqPtrGenModule.io.deq_w := commit_wDeqGroup
830  deqPtrGenModule.io.exception_state := exceptionDataRead
831  deqPtrGenModule.io.intrBitSetReg := intrBitSetReg
832  deqPtrGenModule.io.hasNoSpecExec := hasWaitForward
833  deqPtrGenModule.io.allowOnlyOneCommit := allowOnlyOneCommit
834  deqPtrGenModule.io.interrupt_safe := robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe
835  deqPtrGenModule.io.blockCommit := blockCommit
836  deqPtrGenModule.io.hasCommitted := hasCommitted
837  deqPtrGenModule.io.allCommitted := allCommitted
838  deqPtrVec := deqPtrGenModule.io.out
839  deqPtrVec_next := deqPtrGenModule.io.next_out
840
841  val enqPtrGenModule = Module(new RobEnqPtrWrapper)
842  enqPtrGenModule.io.redirect := io.redirect
843  enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq && !io.fromVecExcpMod.busy
844  enqPtrGenModule.io.hasBlockBackward := hasBlockBackward
845  enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop))
846  enqPtrVec := enqPtrGenModule.io.out
847
848  // next walkPtrVec:
849  // (1) redirect occurs: update according to state
850  // (2) walk: move forwards
851  val deqPtrReadBank = deqPtrVec_next(0).lineHeadPtr
852  val deqPtrVecForWalk = VecInit((0 until CommitWidth).map(i => deqPtrReadBank + i.U))
853  val snapPtrReadBank = snapshots(io.snpt.snptSelect)(0).lineHeadPtr
854  val snapPtrVecForWalk = VecInit((0 until CommitWidth).map(i => snapPtrReadBank + i.U))
855  val walkPtrVec_next: Vec[RobPtr] = Mux(io.redirect.valid,
856    Mux(io.snpt.useSnpt, snapPtrVecForWalk, deqPtrVecForWalk),
857    Mux((state === s_walk) && !walkFinished, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec)
858  )
859  val walkPtrTrue_next: RobPtr = Mux(io.redirect.valid,
860    Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0), deqPtrVec_next(0)),
861    Mux((state === s_walk) && !walkFinished, walkPtrVec_next.head, walkPtrTrue)
862  )
863  walkPtrHead := walkPtrVec_next.head
864  walkPtrVec := walkPtrVec_next
865  walkPtrTrue := walkPtrTrue_next
866  // T io.redirect.valid, T+1 walkPtrLowBits update, T+2 donotNeedWalk update
867  val walkPtrLowBits = Reg(UInt(bankAddrWidth.W))
868  when(io.redirect.valid){
869    walkPtrLowBits := Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0).value(bankAddrWidth-1, 0), deqPtrVec_next(0).value(bankAddrWidth-1, 0))
870  }
871  when(io.redirect.valid) {
872    donotNeedWalk := Fill(donotNeedWalk.length, true.B).asTypeOf(donotNeedWalk)
873  }.elsewhen(RegNext(io.redirect.valid)){
874    donotNeedWalk := (0 until CommitWidth).map(i => (i.U < walkPtrLowBits))
875  }.otherwise{
876    donotNeedWalk := 0.U.asTypeOf(donotNeedWalk)
877  }
878  walkDestSizeDeqGroup.zip(walkPtrVec_next).map {
879    case (reg, ptrNext) => reg := robEntries(deqPtr.value).realDestSize
880  }
881  val numValidEntries = distanceBetween(enqPtr, deqPtr)
882  val commitCnt = PopCount(io.commits.commitValid)
883
884  allowEnqueue := numValidEntries + dispatchNum <= (RobSize - CommitWidth).U
885
886  val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0))
887  when(io.redirect.valid) {
888    lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx)
889  }
890
891
892  /**
893   * States
894   * We put all the stage bits changes here.
895   *
896   * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit);
897   * All states: (1) valid; (2) writebacked; (3) flagBkup
898   */
899
900  val deqPtrGroup = Wire(Vec(2 * CommitWidth, new RobPtr))
901  deqPtrGroup.zipWithIndex.map { case (deq, i) => deq := deqPtrVec(0) + i.U }
902  val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value)))
903
904  val redirectValidReg = RegNext(io.redirect.valid)
905  val redirectBegin = Reg(UInt(log2Up(RobSize).W))
906  val redirectEnd = Reg(UInt(log2Up(RobSize).W))
907  when(io.redirect.valid){
908    redirectBegin := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx.value - 1.U, io.redirect.bits.robIdx.value)
909    redirectEnd := enqPtr.value
910  }
911
912  // update robEntries valid
913  for (i <- 0 until RobSize) {
914    val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2))
915    val commitCond = io.commits.isCommit && io.commits.commitValid.zip(deqPtrVec.map(_.value === i.U)).map(x => x._1 && x._2).reduce(_ || _)
916    assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot")
917    val needFlush = redirectValidReg && Mux(
918      redirectEnd > redirectBegin,
919      (i.U > redirectBegin) && (i.U < redirectEnd),
920      (i.U > redirectBegin) || (i.U < redirectEnd)
921    )
922    when(commitCond) {
923      robEntries(i).valid := false.B
924    }.elsewhen(enqOH.asUInt.orR && !io.redirect.valid) {
925      robEntries(i).valid := true.B
926    }.elsewhen(needFlush){
927      robEntries(i).valid := false.B
928    }
929  }
930
931  // debug_inst update
932  for (i <- 0 until (LduCnt + StaCnt)) {
933    debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i))
934    debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i))
935    debug_lsInfo(io.debug_ls.debugLsInfo(i).s3_robIdx).s3SignalEnable(io.debug_ls.debugLsInfo(i))
936  }
937  for (i <- 0 until LduCnt) {
938    debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i))
939    debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i))
940  }
941
942  // status field: writebacked
943  // enqueue logic set 6 writebacked to false
944
945  // writeback logic set numWbPorts writebacked to true
946
947  // if the first uop of an instruction is valid , write writebackedCounter
948  val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid)
949  val instEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid && req.bits.firstUop)
950  val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf)
951  val enqHasExcpSeq = io.enq.req.map(_.bits.hasException)
952  val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value)
953  val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops))
954  val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB))
955
956  private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map {
957    req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType)
958  })
959  val fflags_wb = fflagsWBs
960  val vxsat_wb = vxsatWBs
961  for (i <- 0 until RobSize) {
962
963    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U)
964    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
965    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
966    val instCanEnqFlag = Cat(instCanEnqSeq).orR
967    val hasExcpSeq = enqHasExcpSeq.lazyZip(robIdxMatchSeq).lazyZip(uopEnqValidSeq).map { case (excp, isMatch, valid) => excp && isMatch && valid }
968    val hasExcpFlag = Cat(hasExcpSeq).orR
969    val isFirstEnq = !robEntries(i).valid && instCanEnqFlag
970    val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid })
971    when(isFirstEnq){
972      robEntries(i).realDestSize := Mux(hasExcpFlag, 0.U, realDestEnqNum)
973    }.elsewhen(robEntries(i).valid && Cat(uopCanEnqSeq).orR){
974      robEntries(i).realDestSize := robEntries(i).realDestSize + realDestEnqNum
975    }
976    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
977    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
978    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
979
980    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
981    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U))
982    val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits))
983
984    val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
985    val needFlush = robEntries(i).needFlush
986    val needFlushWriteBack = Wire(Bool())
987    needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush)
988    when(robEntries(i).valid){
989      needFlush := needFlush || needFlushWriteBack
990    }
991
992    when(robEntries(i).valid && (needFlush || needFlushWriteBack)) {
993      // exception flush
994      robEntries(i).uopNum := robEntries(i).uopNum - wbCnt
995      robEntries(i).stdWritebacked := true.B
996    }.elsewhen(!robEntries(i).valid && instCanEnqFlag) {
997      // enq set num of uops
998      robEntries(i).uopNum := enqWBNum
999      robEntries(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B)
1000    }.elsewhen(robEntries(i).valid) {
1001      // update by writing back
1002      robEntries(i).uopNum := robEntries(i).uopNum - wbCnt
1003      assert(!(robEntries(i).uopNum - wbCnt > robEntries(i).uopNum), s"robEntries $i uopNum is overflow!")
1004      when(canStdWbSeq.asUInt.orR) {
1005        robEntries(i).stdWritebacked := true.B
1006      }
1007    }
1008
1009    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B))
1010    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
1011    when(isFirstEnq) {
1012      robEntries(i).fflags := 0.U
1013    }.elsewhen(fflagsRes.orR) {
1014      robEntries(i).fflags := robEntries(i).fflags | fflagsRes
1015    }
1016
1017    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
1018    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
1019    when(isFirstEnq) {
1020      robEntries(i).vxsat := 0.U
1021    }.elsewhen(vxsatRes.orR) {
1022      robEntries(i).vxsat := robEntries(i).vxsat | vxsatRes
1023    }
1024
1025    // trace
1026    val taken = branchWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.redirect.get.bits.cfiUpdate.taken).reduce(_ || _)
1027    val xret = csrWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && io.csr.isXRet).reduce(_ || _)
1028
1029    when(xret){
1030      robEntries(i).traceBlockInPipe.itype := Itype.ExpIntReturn
1031    }.elsewhen(Itype.isBranchType(robEntries(i).traceBlockInPipe.itype)){
1032      // BranchType code(itype = 5) must be correctly replaced!
1033      robEntries(i).traceBlockInPipe.itype := Mux(taken, Itype.Taken, Itype.NonTaken)
1034    }
1035  }
1036
1037  // begin update robBanksRdata
1038  val robBanksRdata = VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine)
1039  val needUpdate = Wire(Vec(2 * CommitWidth, new RobEntryBundle))
1040  needUpdate := VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine)
1041  val needUpdateRobIdx = robIdxThisLine ++ robIdxNextLine
1042  for (i <- 0 until 2 * CommitWidth) {
1043    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === needUpdateRobIdx(i))
1044    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
1045    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
1046    val instCanEnqFlag = Cat(instCanEnqSeq).orR
1047    val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid })
1048    when(!needUpdate(i).valid && instCanEnqFlag) {
1049      needUpdate(i).realDestSize := realDestEnqNum
1050    }.elsewhen(needUpdate(i).valid && instCanEnqFlag) {
1051      needUpdate(i).realDestSize := robBanksRdata(i).realDestSize + realDestEnqNum
1052    }
1053    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
1054    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
1055    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
1056
1057    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))
1058    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)))
1059    val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits))
1060
1061    val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && (writeback.bits.robIdx.value === needUpdateRobIdx(i)))
1062    val needFlush = robBanksRdata(i).needFlush
1063    val needFlushWriteBack = Wire(Bool())
1064    needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush)
1065    when(needUpdate(i).valid) {
1066      needUpdate(i).needFlush := needFlush || needFlushWriteBack
1067    }
1068
1069    when(needUpdate(i).valid && (needFlush || needFlushWriteBack)) {
1070      // exception flush
1071      needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt
1072      needUpdate(i).stdWritebacked := true.B
1073    }.elsewhen(!needUpdate(i).valid && instCanEnqFlag) {
1074      // enq set num of uops
1075      needUpdate(i).uopNum := enqWBNum
1076      needUpdate(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B)
1077    }.elsewhen(needUpdate(i).valid) {
1078      // update by writing back
1079      needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt
1080      when(canStdWbSeq.asUInt.orR) {
1081        needUpdate(i).stdWritebacked := true.B
1082      }
1083    }
1084
1085    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i) && writeback.bits.wflags.getOrElse(false.B))
1086    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
1087    needUpdate(i).fflags := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).fflags | fflagsRes)
1088
1089    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))
1090    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
1091    needUpdate(i).vxsat := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).vxsat | vxsatRes)
1092  }
1093  robBanksRdataThisLineUpdate := VecInit(needUpdate.take(8))
1094  robBanksRdataNextLineUpdate := VecInit(needUpdate.drop(8))
1095  // end update robBanksRdata
1096
1097  // interrupt_safe
1098  for (i <- 0 until RenameWidth) {
1099    when(canEnqueue(i)) {
1100      // For now, we allow non-load-store instructions to trigger interrupts
1101      // For MMIO instructions, they should not trigger interrupts since they may
1102      // be sent to lower level before it writes back.
1103      // However, we cannot determine whether a load/store instruction is MMIO.
1104      // Thus, we don't allow load/store instructions to trigger an interrupt.
1105      // TODO: support non-MMIO load-store instructions to trigger interrupts
1106      val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType) && !FuType.isFence(io.enq.req(i).bits.fuType) && !FuType.isCsr(io.enq.req(i).bits.fuType)
1107      robEntries(allocatePtrVec(i).value).interrupt_safe := allow_interrupts
1108    }
1109  }
1110
1111  /**
1112   * read and write of data modules
1113   */
1114  val commitReadAddr_next = Mux(state_next === s_idle,
1115    VecInit(deqPtrVec_next.map(_.value)),
1116    VecInit(walkPtrVec_next.map(_.value))
1117  )
1118
1119  exceptionGen.io.redirect <> io.redirect
1120  exceptionGen.io.flush := io.flushOut.valid
1121
1122  val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept))
1123  for (i <- 0 until RenameWidth) {
1124    exceptionGen.io.enq(i).valid := canEnqueueEG(i)
1125    exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx
1126    exceptionGen.io.enq(i).bits.ftqPtr := io.enq.req(i).bits.ftqPtr
1127    exceptionGen.io.enq(i).bits.ftqOffset := io.enq.req(i).bits.ftqOffset
1128    exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec)
1129    exceptionGen.io.enq(i).bits.hasException := io.enq.req(i).bits.hasException
1130    exceptionGen.io.enq(i).bits.isEnqExcp := io.enq.req(i).bits.hasException
1131    exceptionGen.io.enq(i).bits.isFetchMalAddr := io.enq.req(i).bits.isFetchMalAddr
1132    exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe
1133    exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset
1134    exceptionGen.io.enq(i).bits.replayInst := false.B
1135    XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst")
1136    exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep
1137    exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix
1138    exceptionGen.io.enq(i).bits.trigger := io.enq.req(i).bits.trigger
1139    exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare
1140    exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare
1141    exceptionGen.io.enq(i).bits.vuopIdx := 0.U
1142    exceptionGen.io.enq(i).bits.isVecLoad := false.B
1143    exceptionGen.io.enq(i).bits.isVlm := false.B
1144    exceptionGen.io.enq(i).bits.isStrided := false.B
1145    exceptionGen.io.enq(i).bits.isIndexed := false.B
1146    exceptionGen.io.enq(i).bits.isWhole := false.B
1147    exceptionGen.io.enq(i).bits.nf := 0.U
1148    exceptionGen.io.enq(i).bits.vsew := 0.U
1149    exceptionGen.io.enq(i).bits.veew := 0.U
1150    exceptionGen.io.enq(i).bits.vlmul := 0.U
1151  }
1152
1153  println(s"ExceptionGen:")
1154  println(s"num of exceptions: ${params.numException}")
1155  require(exceptionWBs.length == exceptionGen.io.wb.length,
1156    f"exceptionWBs.length: ${exceptionWBs.length}, " +
1157      f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}")
1158  for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) {
1159    exc_wb.valid       := wb.valid
1160    exc_wb.bits.robIdx := wb.bits.robIdx
1161    // only enq inst use ftqPtr to read gpa
1162    exc_wb.bits.ftqPtr          := 0.U.asTypeOf(exc_wb.bits.ftqPtr)
1163    exc_wb.bits.ftqOffset       := 0.U.asTypeOf(exc_wb.bits.ftqOffset)
1164    exc_wb.bits.exceptionVec    := wb.bits.exceptionVec.get
1165    exc_wb.bits.hasException    := wb.bits.exceptionVec.get.asUInt.orR // Todo: use io.writebackNeedFlush(i) instead
1166    exc_wb.bits.isEnqExcp       := false.B
1167    exc_wb.bits.isFetchMalAddr  := false.B
1168    exc_wb.bits.flushPipe       := wb.bits.flushPipe.getOrElse(false.B)
1169    exc_wb.bits.isVset          := false.B
1170    exc_wb.bits.replayInst      := wb.bits.replay.getOrElse(false.B)
1171    exc_wb.bits.singleStep      := false.B
1172    exc_wb.bits.crossPageIPFFix := false.B
1173    val trigger = wb.bits.trigger.getOrElse(TriggerAction.None).asTypeOf(exc_wb.bits.trigger)
1174    exc_wb.bits.trigger := trigger
1175    exc_wb.bits.vstartEn := (if (wb.bits.vls.nonEmpty) wb.bits.exceptionVec.get.asUInt.orR || TriggerAction.isDmode(trigger) else 0.U)
1176    exc_wb.bits.vstart := (if (wb.bits.vls.nonEmpty) wb.bits.vls.get.vpu.vstart else 0.U)
1177    exc_wb.bits.vuopIdx := (if (wb.bits.vls.nonEmpty) wb.bits.vls.get.vpu.vuopIdx else 0.U)
1178    exc_wb.bits.isVecLoad := wb.bits.vls.map(_.isVecLoad).getOrElse(false.B)
1179    exc_wb.bits.isVlm := wb.bits.vls.map(_.isVlm).getOrElse(false.B)
1180    exc_wb.bits.isStrided := wb.bits.vls.map(_.isStrided).getOrElse(false.B) // strided need two mode tmp vreg
1181    exc_wb.bits.isIndexed := wb.bits.vls.map(_.isIndexed).getOrElse(false.B) // indexed and nf=0 need non-sequential uopidx -> vdidx
1182    exc_wb.bits.isWhole := wb.bits.vls.map(_.isWhole).getOrElse(false.B) // indexed and nf=0 need non-sequential uopidx -> vdidx
1183    exc_wb.bits.nf := wb.bits.vls.map(_.vpu.nf).getOrElse(0.U)
1184    exc_wb.bits.vsew := wb.bits.vls.map(_.vpu.vsew).getOrElse(0.U)
1185    exc_wb.bits.veew := wb.bits.vls.map(_.vpu.veew).getOrElse(0.U)
1186    exc_wb.bits.vlmul := wb.bits.vls.map(_.vpu.vlmul).getOrElse(0.U)
1187  }
1188
1189  fflagsDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).fflags)
1190  vxsatDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).vxsat)
1191
1192  val isCommit = io.commits.isCommit
1193  val isCommitReg = GatedValidRegNext(io.commits.isCommit)
1194  val instrCntReg = RegInit(0.U(64.W))
1195  val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => RegEnable(v && CommitType.isFused(i.commitType), isCommit) })
1196  val trueCommitCnt = RegEnable(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _), isCommit) +& fuseCommitCnt
1197  val retireCounter = Mux(isCommitReg, trueCommitCnt, 0.U)
1198  val instrCnt = instrCntReg + retireCounter
1199  when(isCommitReg){
1200    instrCntReg := instrCnt
1201  }
1202  io.csr.perfinfo.retiredInstr := retireCounter
1203  io.robFull := !allowEnqueue
1204  io.headNotReady := commit_vDeqGroup(deqPtr.value(bankNumWidth-1, 0)) && !commit_wDeqGroup(deqPtr.value(bankNumWidth-1, 0))
1205
1206  io.toVecExcpMod.logicPhyRegMap := rab.io.toVecExcpMod.logicPhyRegMap
1207  io.toVecExcpMod.excpInfo := vecExcpInfo
1208
1209  /**
1210   * debug info
1211   */
1212  XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n")
1213  XSDebug("")
1214  XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n")
1215  for (i <- 0 until RobSize) {
1216    XSDebug(false, !robEntries(i).valid, "-")
1217    XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w")
1218    XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v")
1219  }
1220  XSDebug(false, true.B, "\n")
1221
1222  for (i <- 0 until RobSize) {
1223    if (i % 4 == 0) XSDebug("")
1224    XSDebug(false, true.B, "%x ", debug_microOp(i).pc)
1225    XSDebug(false, !robEntries(i).valid, "- ")
1226    XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w ")
1227    XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v ")
1228    if (i % 4 == 3) XSDebug(false, true.B, "\n")
1229  }
1230
1231  def ifCommit(counter: UInt): UInt = Mux(isCommit, counter, 0.U)
1232
1233  def ifCommitReg(counter: UInt): UInt = Mux(isCommitReg, counter, 0.U)
1234
1235  val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_))
1236  XSPerfAccumulate("clock_cycle", 1.U)
1237  QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U)
1238  XSPerfAccumulate("commitUop", ifCommit(commitCnt))
1239  XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt))
1240  XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset)
1241  XSPerfRolling("cpi", perfCnt = 1.U /*Cycle*/ , eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset)
1242  XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt))
1243  val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD)
1244  val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map { case (v, t) => v && t }
1245  XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid)))
1246  val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH)
1247  val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map { case (v, t) => v && t }
1248  XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid)))
1249  val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE)
1250  XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t })))
1251  XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => robEntries(i).valid && robEntries(i).isWritebacked)))
1252  // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire)))
1253  // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready)))
1254  XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U))
1255  XSPerfAccumulate("walkCycleTotal", state === s_walk)
1256  XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd)
1257  private val walkCycle = RegInit(0.U(8.W))
1258  private val waitRabWalkCycle = RegInit(0.U(8.W))
1259  walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1260  waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1261
1262  XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32)
1263  XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32)
1264  XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32)
1265
1266  private val deqNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isWritebacked
1267  private val deqStdNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).stdWritebacked
1268  private val deqUopNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isUopWritebacked
1269  private val deqHeadInfo = debug_microOp(deqPtr.value)
1270  val deqUopCommitType = debug_microOp(deqPtr.value).commitType
1271
1272  XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U)
1273  XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U)
1274  XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U)
1275  XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U)
1276  XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U)
1277  XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U)
1278  XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U)
1279  XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U)
1280  XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U)
1281  XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1282  XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1283  XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1284  XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U)
1285
1286  XSPerfAccumulate("waitVfaluCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfalu.U)
1287  XSPerfAccumulate("waitVfmaCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfma.U)
1288  XSPerfAccumulate("waitVfdivCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfdiv.U)
1289
1290  val vfalufuop = Seq(VfaluType.vfadd, VfaluType.vfwadd, VfaluType.vfwadd_w, VfaluType.vfsub, VfaluType.vfwsub, VfaluType.vfwsub_w, VfaluType.vfmin, VfaluType.vfmax,
1291    VfaluType.vfmerge, VfaluType.vfmv, VfaluType.vfsgnj, VfaluType.vfsgnjn, VfaluType.vfsgnjx, VfaluType.vfeq, VfaluType.vfne, VfaluType.vflt, VfaluType.vfle, VfaluType.vfgt,
1292    VfaluType.vfge, VfaluType.vfclass, VfaluType.vfmv_f_s, VfaluType.vfmv_s_f, VfaluType.vfredusum, VfaluType.vfredmax, VfaluType.vfredmin, VfaluType.vfredosum, VfaluType.vfwredosum)
1293
1294  vfalufuop.zipWithIndex.map{
1295    case(fuoptype,i) =>  XSPerfAccumulate(s"waitVfalu_${i}Cycle", deqStdNotWritebacked && deqHeadInfo.fuOpType === fuoptype && deqHeadInfo.fuType === FuType.vfalu.U)
1296  }
1297
1298
1299
1300  XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL)
1301  XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH)
1302  XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD)
1303  XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE)
1304  XSPerfAccumulate("robHeadPC", io.commits.info(0).debug_pc.getOrElse(0.U))
1305  XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U }))
1306  (2 to RenameWidth).foreach(i =>
1307    XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize === i.U }))
1308  )
1309  XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _))
1310  val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime)
1311  val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime)
1312  val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime)
1313  val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime)
1314  val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime)
1315  val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime)
1316  val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime)
1317
1318  def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = {
1319    cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _)
1320  }
1321
1322  for (fuType <- FuType.functionNameMap.keys) {
1323    val fuName = FuType.functionNameMap(fuType)
1324    val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U)
1325    XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset)
1326    XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType)))
1327    XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency)))
1328    XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency)))
1329    XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency)))
1330    XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency)))
1331    XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency)))
1332    XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency)))
1333    XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency)))
1334  }
1335  XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt)
1336
1337  // top-down info
1338  io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid
1339  io.debugTopDown.toCore.robHeadVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits
1340  io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid
1341  io.debugTopDown.toCore.robHeadPaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits
1342  io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt)
1343  io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value)
1344  io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value)
1345  io.debugTopDown.robHeadLqIdx.bits := debug_microOp(deqPtr.value).lqIdx
1346
1347  // rolling
1348  io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt)
1349
1350  /**
1351   * DataBase info:
1352   * log trigger is at writeback valid
1353   * */
1354  if (!env.FPGAPlatform) {
1355    val instTableName = "InstTable" + p(XSCoreParamsKey).HartId.toString
1356    val instSiteName = "Rob" + p(XSCoreParamsKey).HartId.toString
1357    val debug_instTable = ChiselDB.createTable(instTableName, new InstInfoEntry)
1358    for (wb <- exuWBs) {
1359      when(wb.valid) {
1360        val debug_instData = Wire(new InstInfoEntry)
1361        val idx = wb.bits.robIdx.value
1362        debug_instData.robIdx := idx
1363        debug_instData.dvaddr := wb.bits.debug.vaddr
1364        debug_instData.dpaddr := wb.bits.debug.paddr
1365        debug_instData.issueTime := wb.bits.debugInfo.issueTime
1366        debug_instData.writebackTime := wb.bits.debugInfo.writebackTime
1367        debug_instData.dispatchLatency := wb.bits.debugInfo.dispatchTime - wb.bits.debugInfo.renameTime
1368        debug_instData.enqRsLatency := wb.bits.debugInfo.enqRsTime - wb.bits.debugInfo.dispatchTime
1369        debug_instData.selectLatency := wb.bits.debugInfo.selectTime - wb.bits.debugInfo.enqRsTime
1370        debug_instData.issueLatency := wb.bits.debugInfo.issueTime - wb.bits.debugInfo.selectTime
1371        debug_instData.executeLatency := wb.bits.debugInfo.writebackTime - wb.bits.debugInfo.issueTime
1372        debug_instData.rsFuLatency := wb.bits.debugInfo.writebackTime - wb.bits.debugInfo.enqRsTime
1373        debug_instData.tlbLatency := wb.bits.debugInfo.tlbRespTime - wb.bits.debugInfo.tlbFirstReqTime
1374        debug_instData.exceptType := Cat(wb.bits.exceptionVec.getOrElse(ExceptionVec(false.B)))
1375        debug_instData.lsInfo := debug_lsInfo(idx)
1376        // debug_instData.globalID := wb.bits.uop.ctrl.debug_globalID
1377        // debug_instData.instType := wb.bits.uop.ctrl.fuType
1378        // debug_instData.ivaddr := wb.bits.uop.cf.pc
1379        // debug_instData.mdpInfo.ssid := wb.bits.uop.cf.ssid
1380        // debug_instData.mdpInfo.waitAllStore := wb.bits.uop.cf.loadWaitStrict && wb.bits.uop.cf.loadWaitBit
1381        debug_instTable.log(
1382          data = debug_instData,
1383          en = wb.valid,
1384          site = instSiteName,
1385          clock = clock,
1386          reset = reset
1387        )
1388      }
1389    }
1390  }
1391
1392
1393  //difftest signals
1394  val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value
1395
1396  val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1397  val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1398
1399  for (i <- 0 until CommitWidth) {
1400    val idx = deqPtrVec(i).value
1401    wdata(i) := debug_exuData(idx)
1402    wpc(i) := SignExt(commitDebugUop(i).pc, XLEN)
1403  }
1404
1405  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1406    // These are the structures used by difftest only and should be optimized after synthesis.
1407    val dt_eliminatedMove = Mem(RobSize, Bool())
1408    val dt_isRVC = Mem(RobSize, Bool())
1409    val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle))
1410    for (i <- 0 until RenameWidth) {
1411      when(canEnqueue(i)) {
1412        dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove
1413        dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC
1414      }
1415    }
1416    for (wb <- exuWBs) {
1417      when(wb.valid) {
1418        val wbIdx = wb.bits.robIdx.value
1419        dt_exuDebug(wbIdx) := wb.bits.debug
1420      }
1421    }
1422    // Always instantiate basic difftest modules.
1423    for (i <- 0 until CommitWidth) {
1424      val uop = commitDebugUop(i)
1425      val commitInfo = io.commits.info(i)
1426      val ptr = deqPtrVec(i).value
1427      val exuOut = dt_exuDebug(ptr)
1428      val eliminatedMove = dt_eliminatedMove(ptr)
1429      val isRVC = dt_isRVC(ptr)
1430
1431      val difftest = DifftestModule(new DiffInstrCommit(MaxPhyRegs), delay = 3, dontCare = true)
1432      val dt_skip = Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt)
1433      difftest.coreid := io.hartId
1434      difftest.index := i.U
1435      difftest.valid := io.commits.commitValid(i) && io.commits.isCommit
1436      difftest.skip := dt_skip
1437      difftest.isRVC := isRVC
1438      difftest.rfwen := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.debug_ldest.get =/= 0.U
1439      difftest.fpwen := io.commits.commitValid(i) && uop.fpWen
1440      difftest.wpdest := commitInfo.debug_pdest.get
1441      difftest.wdest := commitInfo.debug_ldest.get
1442      difftest.nFused := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U
1443      when(difftest.valid) {
1444        assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U)
1445      }
1446      if (env.EnableDifftest) {
1447        val uop = commitDebugUop(i)
1448        difftest.pc := SignExt(uop.pc, XLEN)
1449        difftest.instr := uop.instr
1450        difftest.robIdx := ZeroExt(ptr, 10)
1451        difftest.lqIdx := ZeroExt(uop.lqIdx.value, 7)
1452        difftest.sqIdx := ZeroExt(uop.sqIdx.value, 7)
1453        difftest.isLoad := io.commits.info(i).commitType === CommitType.LOAD
1454        difftest.isStore := io.commits.info(i).commitType === CommitType.STORE
1455        // Check LoadEvent only when isAmo or isLoad and skip MMIO
1456        val difftestLoadEvent = DifftestModule(new DiffLoadEvent, delay = 3)
1457        difftestLoadEvent.coreid := io.hartId
1458        difftestLoadEvent.index := i.U
1459        val loadCheck = (FuType.isAMO(uop.fuType) || FuType.isLoad(uop.fuType)) && !dt_skip
1460        difftestLoadEvent.valid    := io.commits.commitValid(i) && io.commits.isCommit && loadCheck
1461        difftestLoadEvent.paddr    := exuOut.paddr
1462        difftestLoadEvent.opType   := uop.fuOpType
1463        difftestLoadEvent.isAtomic := FuType.isAMO(uop.fuType)
1464        difftestLoadEvent.isLoad   := FuType.isLoad(uop.fuType)
1465      }
1466    }
1467  }
1468
1469  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1470    val dt_isXSTrap = Mem(RobSize, Bool())
1471    for (i <- 0 until RenameWidth) {
1472      when(canEnqueue(i)) {
1473        dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap
1474      }
1475    }
1476    val trapVec = io.commits.commitValid.zip(deqPtrVec).map { case (v, d) =>
1477      io.commits.isCommit && v && dt_isXSTrap(d.value)
1478    }
1479    val hitTrap = trapVec.reduce(_ || _)
1480    val difftest = DifftestModule(new DiffTrapEvent, dontCare = true)
1481    difftest.coreid := io.hartId
1482    difftest.hasTrap := hitTrap
1483    difftest.cycleCnt := timer
1484    difftest.instrCnt := instrCnt
1485    difftest.hasWFI := hasWFI
1486
1487    if (env.EnableDifftest) {
1488      val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1))
1489      val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 -> x._1)), XLEN)
1490      difftest.code := trapCode
1491      difftest.pc := trapPC
1492    }
1493
1494    val diffCriticalErrorEvent = DifftestModule(new DiffCriticalErrorEvent)
1495    diffCriticalErrorEvent.valid := io.criticalError && !RegNext(io.criticalError)
1496    diffCriticalErrorEvent.coreid := io.hartId
1497    diffCriticalErrorEvent.criticalError := io.criticalError
1498  }
1499
1500  //store evetn difftest information
1501  io.storeDebugInfo := DontCare
1502  if (env.EnableDifftest) {
1503    io.storeDebugInfo.map{port =>
1504      port.pc := debug_microOp(port.robidx.value).pc
1505    }
1506  }
1507
1508  val commitLoadVec = VecInit(commitLoadValid)
1509  val commitBranchVec = VecInit(commitBranchValid)
1510  val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t })
1511  val perfEvents = Seq(
1512    ("rob_interrupt_num      ", io.flushOut.valid && intrEnable),
1513    ("rob_exception_num      ", io.flushOut.valid && deqHasException),
1514    ("rob_flush_pipe_num     ", io.flushOut.valid && isFlushPipe),
1515    ("rob_replay_inst_num    ", io.flushOut.valid && isFlushPipe && deqHasReplayInst),
1516    ("rob_commitUop          ", ifCommit(commitCnt)),
1517    ("rob_commitInstr        ", ifCommitReg(trueCommitCnt)),
1518    ("rob_commitInstrFused   ", ifCommitReg(fuseCommitCnt)),
1519    ("rob_commitInstrLoad    ", ifCommitReg(PopCount(RegEnable(commitLoadVec, isCommit)))),
1520    ("rob_commitInstrBranch  ", ifCommitReg(PopCount(RegEnable(commitBranchVec, isCommit)))),
1521    ("rob_commitInstrStore   ", ifCommitReg(PopCount(RegEnable(commitStoreVec, isCommit)))),
1522    ("rob_walkInstr          ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)),
1523    ("rob_walkCycle          ", (state === s_walk)),
1524    ("rob_1_4_valid          ", numValidEntries <= (RobSize / 4).U),
1525    ("rob_2_4_valid          ", numValidEntries > (RobSize / 4).U && numValidEntries <= (RobSize / 2).U),
1526    ("rob_3_4_valid          ", numValidEntries > (RobSize / 2).U && numValidEntries <= (RobSize * 3 / 4).U),
1527    ("rob_4_4_valid          ", numValidEntries > (RobSize * 3 / 4).U),
1528  )
1529  generatePerfEvent()
1530
1531  // max commit-stuck cycle
1532  val deqismmio = Mux(robEntries(deqPtr.value).valid, robEntries(deqPtr.value).mmio, false.B)
1533  val commitStuck = (!io.commits.commitValid.reduce(_ || _) || !io.commits.isCommit) && !deqismmio
1534  val commitStuckCycle = RegInit(0.U(log2Up(maxCommitStuck).W))
1535  when(commitStuck) {
1536    commitStuckCycle := commitStuckCycle + 1.U
1537  }.elsewhen(!commitStuck && RegNext(commitStuck)) {
1538    commitStuckCycle := 0.U
1539  }
1540  // check if stuck > 2^maxCommitStuckCycle
1541  val commitStuck_overflow = commitStuckCycle.andR
1542  val criticalErrors = Seq(
1543    ("rob_commit_stuck  ", commitStuck_overflow),
1544  )
1545  generateCriticalErrors()
1546
1547
1548  // dontTouch for debug
1549  if (backendParams.debugEn) {
1550    dontTouch(enqPtrVec)
1551    dontTouch(deqPtrVec)
1552    dontTouch(robEntries)
1553    dontTouch(robDeqGroup)
1554    dontTouch(robBanks)
1555    dontTouch(robBanksRaddrThisLine)
1556    dontTouch(robBanksRaddrNextLine)
1557    dontTouch(robBanksRdataThisLine)
1558    dontTouch(robBanksRdataNextLine)
1559    dontTouch(robBanksRdataThisLineUpdate)
1560    dontTouch(robBanksRdataNextLineUpdate)
1561    dontTouch(needUpdate)
1562    val exceptionWBsVec = MixedVecInit(exceptionWBs)
1563    dontTouch(exceptionWBsVec)
1564    dontTouch(commit_wDeqGroup)
1565    dontTouch(commit_vDeqGroup)
1566    dontTouch(commitSizeSumSeq)
1567    dontTouch(walkSizeSumSeq)
1568    dontTouch(commitSizeSumCond)
1569    dontTouch(walkSizeSumCond)
1570    dontTouch(commitSizeSum)
1571    dontTouch(walkSizeSum)
1572    dontTouch(realDestSizeSeq)
1573    dontTouch(walkDestSizeSeq)
1574    dontTouch(io.commits)
1575    dontTouch(commitIsVTypeVec)
1576    dontTouch(walkIsVTypeVec)
1577    dontTouch(commitValidThisLine)
1578    dontTouch(commitReadAddr_next)
1579    dontTouch(donotNeedWalk)
1580    dontTouch(walkPtrVec_next)
1581    dontTouch(walkPtrVec)
1582    dontTouch(deqPtrVec_next)
1583    dontTouch(deqPtrVecForWalk)
1584    dontTouch(snapPtrReadBank)
1585    dontTouch(snapPtrVecForWalk)
1586    dontTouch(shouldWalkVec)
1587    dontTouch(walkFinished)
1588    dontTouch(changeBankAddrToDeqPtr)
1589  }
1590  if (env.EnableDifftest) {
1591    io.commits.info.map(info => dontTouch(info.debug_pc.get))
1592  }
1593}
1594