xref: /XiangShan/src/main/scala/xiangshan/backend/rob/Rob.scala (revision 3e8a0170f309e4e1295f992035a3887fad663296)
19aca92b9SYinan Xu/***************************************************************************************
29aca92b9SYinan Xu* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
39aca92b9SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
49aca92b9SYinan Xu*
59aca92b9SYinan Xu* XiangShan is licensed under Mulan PSL v2.
69aca92b9SYinan Xu* You can use this software according to the terms and conditions of the Mulan PSL v2.
79aca92b9SYinan Xu* You may obtain a copy of Mulan PSL v2 at:
89aca92b9SYinan Xu*          http://license.coscl.org.cn/MulanPSL2
99aca92b9SYinan Xu*
109aca92b9SYinan Xu* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
119aca92b9SYinan Xu* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
129aca92b9SYinan Xu* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
139aca92b9SYinan Xu*
149aca92b9SYinan Xu* See the Mulan PSL v2 for more details.
159aca92b9SYinan Xu***************************************************************************************/
169aca92b9SYinan Xu
179aca92b9SYinan Xupackage xiangshan.backend.rob
189aca92b9SYinan Xu
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
209aca92b9SYinan Xuimport chisel3._
219aca92b9SYinan Xuimport chisel3.util._
229aca92b9SYinan Xuimport difftest._
236ab6918fSYinan Xuimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
243c02ee8fSwakafaimport utility._
253b739f49SXuan Huimport utils._
266ab6918fSYinan Xuimport xiangshan._
27730cfbc0SXuan Huimport xiangshan.backend.BackendParams
28d91483a6Sfdyimport xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
294c7680e0SXuan Huimport xiangshan.backend.fu.{FuConfig, FuType}
306ab6918fSYinan Xuimport xiangshan.frontend.FtqPtr
31870f462dSXuan Huimport xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr}
32730cfbc0SXuan Huimport xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
33870f462dSXuan Huimport xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo}
344c7680e0SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType
35870f462dSXuan Huimport xiangshan.backend.rename.SnapshotGenerator
36d280e426Slewislzhimport yunsuan.VfaluType
37780712aaSxiaofeibao-xjtuimport xiangshan.backend.rob.RobBundles._
389aca92b9SYinan Xu
393b739f49SXuan Huclass Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter {
4095e60e55STang Haojin  override def shouldBeInlined: Boolean = false
416ab6918fSYinan Xu
423b739f49SXuan Hu  lazy val module = new RobImp(this)(p, params)
436ab6918fSYinan Xu}
446ab6918fSYinan Xu
453b739f49SXuan Huclass RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper)
461ca0e4f3SYinan Xu  with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents {
476ab6918fSYinan Xu
48870f462dSXuan Hu  private val LduCnt = params.LduCnt
49870f462dSXuan Hu  private val StaCnt = params.StaCnt
506810d1e8Ssfencevma  private val HyuCnt = params.HyuCnt
51870f462dSXuan Hu
529aca92b9SYinan Xu  val io = IO(new Bundle() {
53f57f7f2aSYangyu Chen    val hartId = Input(UInt(hartIdLen.W))
549aca92b9SYinan Xu    val redirect = Input(Valid(new Redirect))
559aca92b9SYinan Xu    val enq = new RobEnqIO
56f4b2089aSYinan Xu    val flushOut = ValidIO(new Redirect)
579aca92b9SYinan Xu    val exception = ValidIO(new ExceptionInfo)
589aca92b9SYinan Xu    // exu + brq
593b739f49SXuan Hu    val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
60bd5909d0Sxiaofeibao-xjtu    val exuWriteback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
6185f51ecaSxiaofeibao-xjtu    val writebackNums = Flipped(Vec(writeback.size - params.StdCnt, ValidIO(UInt(writeback.size.U.getWidth.W))))
62571677c9Sxiaofeibao-xjtu    val writebackNeedFlush = Input(Vec(params.allExuParams.filter(_.needExceptionGen).length, Bool()))
63ccfddc82SHaojin Tang    val commits = Output(new RobCommitIO)
646b102a39SHaojin Tang    val rabCommits = Output(new RabCommitIO)
65cda1c534Sxiaofeibao-xjtu    val diffCommits = if (backendParams.debugEn) Some(Output(new DiffCommitIO)) else None
66a8db15d8Sfdy    val isVsetFlushPipe = Output(Bool())
679aca92b9SYinan Xu    val lsq = new RobLsqIO
689aca92b9SYinan Xu    val robDeqPtr = Output(new RobPtr)
699aca92b9SYinan Xu    val csr = new RobCSRIO
70fa7f2c26STang Haojin    val snpt = Input(new SnapshotPort)
719aca92b9SYinan Xu    val robFull = Output(Bool())
72d2b20d1aSTang Haojin    val headNotReady = Output(Bool())
73b6900d94SYinan Xu    val cpu_halt = Output(Bool())
7409309bdbSYinan Xu    val wfi_enable = Input(Bool())
754c7680e0SXuan Hu    val toDecode = new Bundle {
7686727929Ssinsanction      val isResumeVType = Output(Bool())
7781535d7bSsinsanction      val walkVType = ValidIO(VType())
787e4f0b19SZiyue-Zhang      val commitVType = new Bundle {
797e4f0b19SZiyue-Zhang        val vtype = ValidIO(VType())
807e4f0b19SZiyue-Zhang        val hasVsetvl = Output(Bool())
814c7680e0SXuan Hu      }
829aca92b9SYinan Xu    }
836f483f86SXuan Hu    val readGPAMemAddr = ValidIO(new Bundle {
846f483f86SXuan Hu      val ftqPtr = new FtqPtr()
856f483f86SXuan Hu      val ftqOffset = UInt(log2Up(PredictWidth).W)
866f483f86SXuan Hu    })
876f483f86SXuan Hu    val readGPAMemData = Input(UInt(GPAddrBits.W))
885110577fSZiyue Zhang    val vstartIsZero = Input(Bool())
8960ebee38STang Haojin
908744445eSMaxpicca-Li    val debug_ls = Flipped(new DebugLSIO)
91870f462dSXuan Hu    val debugRobHead = Output(new DynInst)
92d2b20d1aSTang Haojin    val debugEnqLsq = Input(new LsqEnqIO)
93d2b20d1aSTang Haojin    val debugHeadLsIssue = Input(Bool())
946810d1e8Ssfencevma    val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo))
9560ebee38STang Haojin    val debugTopDown = new Bundle {
9660ebee38STang Haojin      val toCore = new RobCoreTopDownIO
9760ebee38STang Haojin      val toDispatch = new RobDispatchTopDownIO
9860ebee38STang Haojin      val robHeadLqIdx = Valid(new LqPtr)
9960ebee38STang Haojin    }
1007cf78eb2Shappy-lx    val debugRolling = new RobDebugRollingIO
1019aca92b9SYinan Xu  })
1029aca92b9SYinan Xu
103bd5909d0Sxiaofeibao-xjtu  val exuWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(!_.bits.params.hasStdFu).toSeq
104bd5909d0Sxiaofeibao-xjtu  val stdWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(_.bits.params.hasStdFu).toSeq
105bd5909d0Sxiaofeibao-xjtu  val fflagsWBs = io.exuWriteback.filter(x => x.bits.fflags.nonEmpty).toSeq
1061d2f6c6bSsinsanction  val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty).toSeq
1071d2f6c6bSsinsanction  val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty).toSeq
108bd5909d0Sxiaofeibao-xjtu  val vxsatWBs = io.exuWriteback.filter(x => x.bits.vxsat.nonEmpty).toSeq
1093b739f49SXuan Hu
1103b739f49SXuan Hu  val numExuWbPorts = exuWBs.length
1113b739f49SXuan Hu  val numStdWbPorts = stdWBs.length
112780712aaSxiaofeibao-xjtu  val bankAddrWidth = log2Up(CommitWidth)
1136ab6918fSYinan Xu
1143b739f49SXuan Hu  println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth")
1153b739f49SXuan Hu
116780712aaSxiaofeibao-xjtu  val rab = Module(new RenameBuffer(RabSize))
117780712aaSxiaofeibao-xjtu  val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize))
118780712aaSxiaofeibao-xjtu  val bankNum = 8
119780712aaSxiaofeibao-xjtu  assert(RobSize % bankNum == 0, "RobSize % bankNum must be 0")
120780712aaSxiaofeibao-xjtu  val robEntries = Reg(Vec(RobSize, new RobEntryBundle))
121780712aaSxiaofeibao-xjtu  // pointers
122780712aaSxiaofeibao-xjtu  // For enqueue ptr, we don't duplicate it since only enqueue needs it.
123780712aaSxiaofeibao-xjtu  val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr))
124780712aaSxiaofeibao-xjtu  val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr))
125780712aaSxiaofeibao-xjtu  val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr))
126c0f8424bSzhanglyGit  val walkPtrTrue = Reg(new RobPtr)
127780712aaSxiaofeibao-xjtu  val lastWalkPtr = Reg(new RobPtr)
128780712aaSxiaofeibao-xjtu  val allowEnqueue = RegInit(true.B)
1299aca92b9SYinan Xu
130780712aaSxiaofeibao-xjtu  /**
131780712aaSxiaofeibao-xjtu   * Enqueue (from dispatch)
132780712aaSxiaofeibao-xjtu   */
133780712aaSxiaofeibao-xjtu  // special cases
134780712aaSxiaofeibao-xjtu  val hasBlockBackward = RegInit(false.B)
135780712aaSxiaofeibao-xjtu  val hasWaitForward = RegInit(false.B)
136780712aaSxiaofeibao-xjtu  val doingSvinval = RegInit(false.B)
137780712aaSxiaofeibao-xjtu  val enqPtr = enqPtrVec(0)
138780712aaSxiaofeibao-xjtu  val deqPtr = deqPtrVec(0)
139780712aaSxiaofeibao-xjtu  val walkPtr = walkPtrVec(0)
140780712aaSxiaofeibao-xjtu  val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop)))))
141780712aaSxiaofeibao-xjtu  io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq
142780712aaSxiaofeibao-xjtu  io.enq.resp := allocatePtrVec
143780712aaSxiaofeibao-xjtu  val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept))
144780712aaSxiaofeibao-xjtu  val timer = GTimer()
145780712aaSxiaofeibao-xjtu  // robEntries enqueue
146780712aaSxiaofeibao-xjtu  for (i <- 0 until RobSize) {
147780712aaSxiaofeibao-xjtu    val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2))
148780712aaSxiaofeibao-xjtu    assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot")
149780712aaSxiaofeibao-xjtu    when(enqOH.asUInt.orR && !io.redirect.valid){
150780712aaSxiaofeibao-xjtu      connectEnq(robEntries(i), Mux1H(enqOH, io.enq.req.map(_.bits)))
151a8db15d8Sfdy    }
152af4bdb08SXuan Hu  }
153780712aaSxiaofeibao-xjtu  // robBanks0 include robidx : 0 8 16 24 32 ...
154780712aaSxiaofeibao-xjtu  val robBanks = VecInit((0 until bankNum).map(i => VecInit(robEntries.zipWithIndex.filter(_._2 % bankNum == i).map(_._1))))
155780712aaSxiaofeibao-xjtu  // each Bank has 20 Entries, read addr is one hot
156780712aaSxiaofeibao-xjtu  // all banks use same raddr
157780712aaSxiaofeibao-xjtu  val eachBankEntrieNum = robBanks(0).length
158780712aaSxiaofeibao-xjtu  val robBanksRaddrThisLine = RegInit(1.U(eachBankEntrieNum.W))
159780712aaSxiaofeibao-xjtu  val robBanksRaddrNextLine = Wire(UInt(eachBankEntrieNum.W))
160780712aaSxiaofeibao-xjtu  robBanksRaddrThisLine := robBanksRaddrNextLine
161780712aaSxiaofeibao-xjtu  val bankNumWidth = log2Up(bankNum)
162780712aaSxiaofeibao-xjtu  val deqPtrWidth = deqPtr.value.getWidth
163780712aaSxiaofeibao-xjtu  val robIdxThisLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth), i.U(bankNumWidth.W))))
164780712aaSxiaofeibao-xjtu  val robIdxNextLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth) + 1.U, i.U(bankNumWidth.W))))
165780712aaSxiaofeibao-xjtu  // robBanks read
166780712aaSxiaofeibao-xjtu  val robBanksRdataThisLine = VecInit(robBanks.map{ case bank =>
167780712aaSxiaofeibao-xjtu    Mux1H(robBanksRaddrThisLine, bank)
168780712aaSxiaofeibao-xjtu  })
169780712aaSxiaofeibao-xjtu  val robBanksRdataNextLine = VecInit(robBanks.map{ case bank =>
170780712aaSxiaofeibao-xjtu    val shiftBank = bank.drop(1) :+ bank(0)
171780712aaSxiaofeibao-xjtu    Mux1H(robBanksRaddrThisLine, shiftBank)
172780712aaSxiaofeibao-xjtu  })
173780712aaSxiaofeibao-xjtu  val robBanksRdataThisLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle))
174780712aaSxiaofeibao-xjtu  val robBanksRdataNextLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle))
175780712aaSxiaofeibao-xjtu  val commitValidThisLine = Wire(Vec(CommitWidth, Bool()))
176780712aaSxiaofeibao-xjtu  val hasCommitted = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
177780712aaSxiaofeibao-xjtu  val donotNeedWalk = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
178780712aaSxiaofeibao-xjtu  val allCommitted = Wire(Bool())
179af4bdb08SXuan Hu
180780712aaSxiaofeibao-xjtu  when(allCommitted) {
181780712aaSxiaofeibao-xjtu    hasCommitted := 0.U.asTypeOf(hasCommitted)
182780712aaSxiaofeibao-xjtu  }.elsewhen(io.commits.isCommit){
183780712aaSxiaofeibao-xjtu    for (i <- 0 until CommitWidth){
184780712aaSxiaofeibao-xjtu      hasCommitted(i) := commitValidThisLine(i) || hasCommitted(i)
185780712aaSxiaofeibao-xjtu    }
186780712aaSxiaofeibao-xjtu  }
187780712aaSxiaofeibao-xjtu  allCommitted := io.commits.isCommit && commitValidThisLine.last
188780712aaSxiaofeibao-xjtu  val walkPtrHead = Wire(new RobPtr)
189780712aaSxiaofeibao-xjtu  val changeBankAddrToDeqPtr = (walkPtrVec.head + CommitWidth.U) > lastWalkPtr
190780712aaSxiaofeibao-xjtu  when(io.redirect.valid){
191780712aaSxiaofeibao-xjtu    robBanksRaddrNextLine := UIntToOH(walkPtrHead.value(walkPtrHead.value.getWidth-1, bankAddrWidth))
192780712aaSxiaofeibao-xjtu  }.elsewhen(allCommitted || io.commits.isWalk && !changeBankAddrToDeqPtr){
193780712aaSxiaofeibao-xjtu    robBanksRaddrNextLine := Mux(robBanksRaddrThisLine.head(1) === 1.U, 1.U, robBanksRaddrThisLine << 1)
194780712aaSxiaofeibao-xjtu  }.elsewhen(io.commits.isWalk && changeBankAddrToDeqPtr){
195780712aaSxiaofeibao-xjtu    robBanksRaddrNextLine := UIntToOH(deqPtr.value(deqPtr.value.getWidth-1, bankAddrWidth))
196780712aaSxiaofeibao-xjtu  }.otherwise(
197780712aaSxiaofeibao-xjtu    robBanksRaddrNextLine := robBanksRaddrThisLine
198780712aaSxiaofeibao-xjtu  )
199780712aaSxiaofeibao-xjtu  val robDeqGroup = Reg(Vec(bankNum, new RobCommitEntryBundle))
200780712aaSxiaofeibao-xjtu  val commitInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1,0)))).toSeq
2014c30949dSxiao feibao  val walkInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(walkPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq
202780712aaSxiaofeibao-xjtu  for (i <- 0 until CommitWidth) {
203780712aaSxiaofeibao-xjtu    connectCommitEntry(robDeqGroup(i), robBanksRdataThisLineUpdate(i))
204780712aaSxiaofeibao-xjtu    when(allCommitted){
205780712aaSxiaofeibao-xjtu      connectCommitEntry(robDeqGroup(i), robBanksRdataNextLineUpdate(i))
206780712aaSxiaofeibao-xjtu    }
207780712aaSxiaofeibao-xjtu  }
2089aca92b9SYinan Xu  // data for debug
2099aca92b9SYinan Xu  // Warn: debug_* prefix should not exist in generated verilog.
210c7d010e5SXuan Hu  val debug_microOp = DebugMem(RobSize, new DynInst)
2119aca92b9SYinan Xu  val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W))) //for debug
2129aca92b9SYinan Xu  val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle)) //for debug
2138744445eSMaxpicca-Li  val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init)))
214d2b20d1aSTang Haojin  val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init)))
215d2b20d1aSTang Haojin  val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B))
216d2b20d1aSTang Haojin  val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B))
2179aca92b9SYinan Xu
2189aca92b9SYinan Xu  val isEmpty = enqPtr === deqPtr
219780712aaSxiaofeibao-xjtu  val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _)
220780712aaSxiaofeibao-xjtu  val snapshotPtrVec = Wire(Vec(CommitWidth, new RobPtr))
221780712aaSxiaofeibao-xjtu  snapshotPtrVec(0) := io.enq.req(0).bits.robIdx
222780712aaSxiaofeibao-xjtu  for (i <- 1 until CommitWidth) {
223780712aaSxiaofeibao-xjtu    snapshotPtrVec(i) := snapshotPtrVec(0) + i.U
224780712aaSxiaofeibao-xjtu  }
225780712aaSxiaofeibao-xjtu  val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec)
226d2b20d1aSTang Haojin  val debug_lsIssue = WireDefault(debug_lsIssued)
227d2b20d1aSTang Haojin  debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue
228d2b20d1aSTang Haojin
2299aca92b9SYinan Xu  /**
2309aca92b9SYinan Xu   * states of Rob
2319aca92b9SYinan Xu   */
232ccfddc82SHaojin Tang  val s_idle :: s_walk :: Nil = Enum(2)
2339aca92b9SYinan Xu  val state = RegInit(s_idle)
2349aca92b9SYinan Xu
2353b739f49SXuan Hu  val exceptionGen = Module(new ExceptionGen(params))
2369aca92b9SYinan Xu  val exceptionDataRead = exceptionGen.io.state
2379aca92b9SYinan Xu  val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W)))
238a8db15d8Sfdy  val vxsatDataRead = Wire(Vec(CommitWidth, Bool()))
2399aca92b9SYinan Xu  io.robDeqPtr := deqPtr
240d2b20d1aSTang Haojin  io.debugRobHead := debug_microOp(deqPtr.value)
2419aca92b9SYinan Xu
2424c7680e0SXuan Hu  /**
2434c7680e0SXuan Hu   * connection of [[rab]]
2444c7680e0SXuan Hu   */
24544369838SXuan Hu  rab.io.redirect.valid := io.redirect.valid
24644369838SXuan Hu
247a8db15d8Sfdy  rab.io.req.zip(io.enq.req).map { case (dest, src) =>
248a8db15d8Sfdy    dest.bits := src.bits
249a8db15d8Sfdy    dest.valid := src.valid && io.enq.canAccept
250a8db15d8Sfdy  }
251a8db15d8Sfdy
252cda1c534Sxiaofeibao-xjtu  val walkDestSizeDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W))))
253780712aaSxiaofeibao-xjtu  val realDestSizeSeq = VecInit(robDeqGroup.zip(hasCommitted).map{case (r, h) => Mux(h, 0.U, r.realDestSize)})
254780712aaSxiaofeibao-xjtu  val walkDestSizeSeq = VecInit(robDeqGroup.zip(donotNeedWalk).map{case (r, d) => Mux(d, 0.U, r.realDestSize)})
255780712aaSxiaofeibao-xjtu  val commitSizeSumSeq = VecInit((0 until CommitWidth).map(i => realDestSizeSeq.take(i + 1).reduce(_ +& _)))
256780712aaSxiaofeibao-xjtu  val walkSizeSumSeq   = VecInit((0 until CommitWidth).map(i => walkDestSizeSeq.take(i + 1).reduce(_ +& _)))
257780712aaSxiaofeibao-xjtu  val commitSizeSumCond = VecInit(commitValidThisLine.zip(hasCommitted).map{case (c,h) => (c || h) && io.commits.isCommit})
258780712aaSxiaofeibao-xjtu  val walkSizeSumCond   = VecInit(io.commits.walkValid.zip(donotNeedWalk).map{case (w,d) => (w || d) && io.commits.isWalk})
259cda1c534Sxiaofeibao-xjtu  val commitSizeSum = PriorityMuxDefault(commitSizeSumCond.reverse.zip(commitSizeSumSeq.reverse), 0.U)
260cda1c534Sxiaofeibao-xjtu  val walkSizeSum   = PriorityMuxDefault(walkSizeSumCond.reverse.zip(walkSizeSumSeq.reverse), 0.U)
26144369838SXuan Hu
26265f65924SXuan Hu  rab.io.fromRob.commitSize := commitSizeSum
26365f65924SXuan Hu  rab.io.fromRob.walkSize := walkSizeSum
264c4b56310SHaojin Tang  rab.io.snpt := io.snpt
2659b9e991bSHaojin Tang  rab.io.snpt.snptEnq := snptEnq
266a8db15d8Sfdy
267a8db15d8Sfdy  io.rabCommits := rab.io.commits
268cda1c534Sxiaofeibao-xjtu  io.diffCommits.foreach(_ := rab.io.diffCommits.get)
269a8db15d8Sfdy
2709aca92b9SYinan Xu  /**
2714c7680e0SXuan Hu   * connection of [[vtypeBuffer]]
2724c7680e0SXuan Hu   */
2734c7680e0SXuan Hu
2744c7680e0SXuan Hu  vtypeBuffer.io.redirect.valid := io.redirect.valid
2754c7680e0SXuan Hu
2764c7680e0SXuan Hu  vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) =>
2774c7680e0SXuan Hu    sink.valid := source.valid && io.enq.canAccept
2784c7680e0SXuan Hu    sink.bits := source.bits
2794c7680e0SXuan Hu  }
2804c7680e0SXuan Hu
2813e7f8698SXuan Hu  private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset })
2824c30949dSxiao feibao  private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(walkInfo).map { case (valid, info) => io.commits.isWalk && valid && info.isVset })
2834c7680e0SXuan Hu  vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec)
2844c7680e0SXuan Hu  vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec)
2854c7680e0SXuan Hu  vtypeBuffer.io.snpt := io.snpt
2864c7680e0SXuan Hu  vtypeBuffer.io.snpt.snptEnq := snptEnq
28786727929Ssinsanction  io.toDecode.isResumeVType := vtypeBuffer.io.toDecode.isResumeVType
28881535d7bSsinsanction  io.toDecode.commitVType := vtypeBuffer.io.toDecode.commitVType
28981535d7bSsinsanction  io.toDecode.walkVType := vtypeBuffer.io.toDecode.walkVType
290780712aaSxiaofeibao-xjtu
2919aca92b9SYinan Xu  // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B
2929aca92b9SYinan Xu  // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty.
293780712aaSxiaofeibao-xjtu  when(isEmpty) {
294780712aaSxiaofeibao-xjtu    hasBlockBackward := false.B
295780712aaSxiaofeibao-xjtu  }
2969aca92b9SYinan Xu  // When any instruction commits, hasNoSpecExec should be set to false.B
297780712aaSxiaofeibao-xjtu  when(io.commits.hasWalkInstr || io.commits.hasCommitInstr) {
298780712aaSxiaofeibao-xjtu    hasWaitForward := false.B
299780712aaSxiaofeibao-xjtu  }
3005c95ea2eSYinan Xu
3015c95ea2eSYinan Xu  // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing.
3025c95ea2eSYinan Xu  // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle.
3035c95ea2eSYinan Xu  // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts.
3045c95ea2eSYinan Xu  val hasWFI = RegInit(false.B)
3055c95ea2eSYinan Xu  io.cpu_halt := hasWFI
306342656a5SYinan Xu  // WFI Timeout: 2^20 = 1M cycles
307342656a5SYinan Xu  val wfi_cycles = RegInit(0.U(20.W))
308342656a5SYinan Xu  when(hasWFI) {
309342656a5SYinan Xu    wfi_cycles := wfi_cycles + 1.U
310342656a5SYinan Xu  }.elsewhen(!hasWFI && RegNext(hasWFI)) {
311342656a5SYinan Xu    wfi_cycles := 0.U
312342656a5SYinan Xu  }
313342656a5SYinan Xu  val wfi_timeout = wfi_cycles.andR
314342656a5SYinan Xu  when(RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) {
3155c95ea2eSYinan Xu    hasWFI := false.B
316b6900d94SYinan Xu  }
3179aca92b9SYinan Xu
3189aca92b9SYinan Xu  for (i <- 0 until RenameWidth) {
3199aca92b9SYinan Xu    // we don't check whether io.redirect is valid here since redirect has higher priority
3209aca92b9SYinan Xu    when(canEnqueue(i)) {
3216ab6918fSYinan Xu      val enqUop = io.enq.req(i).bits
3226474c47fSYinan Xu      val enqIndex = allocatePtrVec(i).value
3239aca92b9SYinan Xu      // store uop in data module and debug_microOp Vec
3246474c47fSYinan Xu      debug_microOp(enqIndex) := enqUop
3256474c47fSYinan Xu      debug_microOp(enqIndex).debugInfo.dispatchTime := timer
3266474c47fSYinan Xu      debug_microOp(enqIndex).debugInfo.enqRsTime := timer
3276474c47fSYinan Xu      debug_microOp(enqIndex).debugInfo.selectTime := timer
3286474c47fSYinan Xu      debug_microOp(enqIndex).debugInfo.issueTime := timer
3296474c47fSYinan Xu      debug_microOp(enqIndex).debugInfo.writebackTime := timer
3308744445eSMaxpicca-Li      debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer
3318744445eSMaxpicca-Li      debug_microOp(enqIndex).debugInfo.tlbRespTime := timer
3328744445eSMaxpicca-Li      debug_lsInfo(enqIndex) := DebugLsInfo.init
333d2b20d1aSTang Haojin      debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init
334d2b20d1aSTang Haojin      debug_lqIdxValid(enqIndex) := false.B
335d2b20d1aSTang Haojin      debug_lsIssued(enqIndex) := false.B
3363b739f49SXuan Hu      when (enqUop.waitForward) {
3373b739f49SXuan Hu        hasWaitForward := true.B
3389aca92b9SYinan Xu      }
339f7af4c74Schengguanghui      val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire
3403b739f49SXuan Hu      val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR
341af2f7849Shappy-lx      // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process
342780712aaSxiaofeibao-xjtu      when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) {
343af2f7849Shappy-lx        doingSvinval := true.B
344af2f7849Shappy-lx      }
345af2f7849Shappy-lx      // the end instruction of Svinval enqs so clear doingSvinval
346780712aaSxiaofeibao-xjtu      when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) {
347af2f7849Shappy-lx        doingSvinval := false.B
348af2f7849Shappy-lx      }
349af2f7849Shappy-lx      // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear
35049fd6a7cSXuan Hu      assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe) || enqUop.isNotSvinval))
351f7af4c74Schengguanghui      when(enqUop.isWFI && !enqHasException && !enqHasTriggerCanFire) {
3525c95ea2eSYinan Xu        hasWFI := true.B
353b6900d94SYinan Xu      }
354e4f69d78Ssfencevma
355780712aaSxiaofeibao-xjtu      robEntries(enqIndex).mmio := false.B
356780712aaSxiaofeibao-xjtu      robEntries(enqIndex).vls := enqUop.vlsInstr
3579aca92b9SYinan Xu    }
3589aca92b9SYinan Xu  }
3593b601ae0SXuan Hu
3603b601ae0SXuan Hu  for (i <- 0 until RenameWidth) {
3613b601ae0SXuan Hu    val enqUop = io.enq.req(i)
3623b601ae0SXuan Hu    when(enqUop.valid && enqUop.bits.blockBackward && io.enq.canAccept) {
3633b601ae0SXuan Hu      hasBlockBackward := true.B
3643b601ae0SXuan Hu    }
3653b601ae0SXuan Hu  }
3663b601ae0SXuan Hu
367a8db15d8Sfdy  val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U)
36875b25016SYinan Xu  io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR)
3699aca92b9SYinan Xu
37009309bdbSYinan Xu  when(!io.wfi_enable) {
37109309bdbSYinan Xu    hasWFI := false.B
37209309bdbSYinan Xu  }
3734aa9ed34Sfdy  // sel vsetvl's flush position
3744aa9ed34Sfdy  val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3)
3754aa9ed34Sfdy  val vsetvlState = RegInit(vs_idle)
3764aa9ed34Sfdy
3774aa9ed34Sfdy  val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr))
3784aa9ed34Sfdy  val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W)))
3794aa9ed34Sfdy  val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr))
3804aa9ed34Sfdy
3814aa9ed34Sfdy  val enq0 = io.enq.req(0)
382d91483a6Sfdy  val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0)
3833b739f49SXuan Hu  val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe
384239413e5SXuan Hu  val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map { case (req, fire) => FuType.isVArith(req.bits.fuType) && fire }
3854aa9ed34Sfdy  // for vs_idle
3864aa9ed34Sfdy  val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType)))
3874aa9ed34Sfdy  // for vs_waitVinstr
3884aa9ed34Sfdy  val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1)
3894aa9ed34Sfdy  val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req)
3904aa9ed34Sfdy  when(vsetvlState === vs_idle) {
3913b739f49SXuan Hu    firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr
3923b739f49SXuan Hu    firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset
3934aa9ed34Sfdy    firstVInstrRobIdx := firstVInstrIdle.bits.robIdx
3944aa9ed34Sfdy  }.elsewhen(vsetvlState === vs_waitVinstr) {
395a8db15d8Sfdy    when(Cat(enqIsVInstrOrVset).orR) {
3963b739f49SXuan Hu      firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr
3973b739f49SXuan Hu      firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset
3984aa9ed34Sfdy      firstVInstrRobIdx := firstVInstrWait.bits.robIdx
3994aa9ed34Sfdy    }
400a8db15d8Sfdy  }
4014aa9ed34Sfdy
4024aa9ed34Sfdy  val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR
403a8db15d8Sfdy  when(vsetvlState === vs_idle && !io.redirect.valid) {
4044aa9ed34Sfdy    when(enq0IsVsetFlush) {
4054aa9ed34Sfdy      vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr)
4064aa9ed34Sfdy    }
4074aa9ed34Sfdy  }.elsewhen(vsetvlState === vs_waitVinstr) {
4084aa9ed34Sfdy    when(io.redirect.valid) {
4094aa9ed34Sfdy      vsetvlState := vs_idle
4104aa9ed34Sfdy    }.elsewhen(Cat(enqIsVInstrOrVset).orR) {
4114aa9ed34Sfdy      vsetvlState := vs_waitFlush
4124aa9ed34Sfdy    }
4134aa9ed34Sfdy  }.elsewhen(vsetvlState === vs_waitFlush) {
4144aa9ed34Sfdy    when(io.redirect.valid) {
4154aa9ed34Sfdy      vsetvlState := vs_idle
4164aa9ed34Sfdy    }
4174aa9ed34Sfdy  }
41809309bdbSYinan Xu
419d2b20d1aSTang Haojin  // lqEnq
420d2b20d1aSTang Haojin  io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) =>
421d2b20d1aSTang Haojin    when(io.debugEnqLsq.canAccept && alloc && req.valid) {
422d2b20d1aSTang Haojin      debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx
423d2b20d1aSTang Haojin      debug_lqIdxValid(req.bits.robIdx.value) := true.B
424d2b20d1aSTang Haojin    }
425d2b20d1aSTang Haojin  }
426d2b20d1aSTang Haojin
427d2b20d1aSTang Haojin  // lsIssue
428d2b20d1aSTang Haojin  when(io.debugHeadLsIssue) {
429d2b20d1aSTang Haojin    debug_lsIssued(deqPtr.value) := true.B
430d2b20d1aSTang Haojin  }
431d2b20d1aSTang Haojin
4329aca92b9SYinan Xu  /**
4339aca92b9SYinan Xu   * Writeback (from execution units)
4349aca92b9SYinan Xu   */
4353b739f49SXuan Hu  for (wb <- exuWBs) {
4366ab6918fSYinan Xu    when(wb.valid) {
4373b739f49SXuan Hu      val wbIdx = wb.bits.robIdx.value
438618b89e6Slewislzh      debug_exuData(wbIdx) := wb.bits.data(0)
4396ab6918fSYinan Xu      debug_exuDebug(wbIdx) := wb.bits.debug
4403b739f49SXuan Hu      debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime
4413b739f49SXuan Hu      debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime
4423b739f49SXuan Hu      debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime
4433b739f49SXuan Hu      debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime
4449aca92b9SYinan Xu
445b211808bShappy-lx      // debug for lqidx and sqidx
446141a6449SXuan Hu      debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr))
447141a6449SXuan Hu      debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr))
448b211808bShappy-lx
4499aca92b9SYinan Xu      val debug_Uop = debug_microOp(wbIdx)
4509aca92b9SYinan Xu      XSInfo(true.B,
4513b739f49SXuan Hu        p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " +
452618b89e6Slewislzh          p"data 0x${Hexadecimal(wb.bits.data(0))} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " +
4533b739f49SXuan Hu          p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n"
4549aca92b9SYinan Xu      )
4559aca92b9SYinan Xu    }
4569aca92b9SYinan Xu  }
4573b739f49SXuan Hu
4583b739f49SXuan Hu  val writebackNum = PopCount(exuWBs.map(_.valid))
4599aca92b9SYinan Xu  XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum)
4609aca92b9SYinan Xu
461e4f69d78Ssfencevma  for (i <- 0 until LoadPipelineWidth) {
462e4f69d78Ssfencevma    when(RegNext(io.lsq.mmio(i))) {
463780712aaSxiaofeibao-xjtu      robEntries(RegEnable(io.lsq.uop(i).robIdx, io.lsq.mmio(i)).value).mmio := true.B
464e4f69d78Ssfencevma    }
465e4f69d78Ssfencevma  }
4669aca92b9SYinan Xu
467780712aaSxiaofeibao-xjtu
4689aca92b9SYinan Xu  /**
4699aca92b9SYinan Xu   * RedirectOut: Interrupt and Exceptions
4709aca92b9SYinan Xu   */
471ffebba96Sxiao feibao  val deqDispatchData = robEntries(deqPtr.value)
4729aca92b9SYinan Xu  val debug_deqUop = debug_microOp(deqPtr.value)
4739aca92b9SYinan Xu
474571677c9Sxiaofeibao-xjtu  val deqPtrEntry = robDeqGroup(deqPtr.value(bankAddrWidth-1,0))
475571677c9Sxiaofeibao-xjtu  val deqPtrEntryValid = deqPtrEntry.commit_v
4769aca92b9SYinan Xu  val intrBitSetReg = RegNext(io.csr.intrBitSet)
477571677c9Sxiaofeibao-xjtu  val intrEnable = intrBitSetReg && !hasWaitForward && deqPtrEntry.interrupt_safe
478571677c9Sxiaofeibao-xjtu  val deqNeedFlush = deqPtrEntry.needFlush && deqPtrEntry.commit_v && deqPtrEntry.commit_w
479571677c9Sxiaofeibao-xjtu  val deqHitExceptionGenState = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr
480571677c9Sxiaofeibao-xjtu  val deqNeedFlushAndHitExceptionGenState = deqNeedFlush && deqHitExceptionGenState
481571677c9Sxiaofeibao-xjtu  val exceptionGenStateIsException = exceptionDataRead.bits.exceptionVec.asUInt.orR || exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.canFire
482571677c9Sxiaofeibao-xjtu  val deqHasException = deqNeedFlushAndHitExceptionGenState && exceptionGenStateIsException
483571677c9Sxiaofeibao-xjtu  val deqHasFlushPipe = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.flushPipe
484571677c9Sxiaofeibao-xjtu  val deqHasReplayInst = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.replayInst
48572951335SLi Qianruo
48684e47f35SLi Qianruo  XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n")
487f7af4c74Schengguanghui  XSDebug(deqHasException && exceptionDataRead.bits.trigger.getFrontendCanFire, "Debug Mode: Deq has frontend trigger exception\n")
488f7af4c74Schengguanghui  XSDebug(deqHasException && exceptionDataRead.bits.trigger.getBackendCanFire, "Debug Mode: Deq has backend trigger exception\n")
48984e47f35SLi Qianruo
490571677c9Sxiaofeibao-xjtu  val isFlushPipe = deqPtrEntry.commit_w && (deqHasFlushPipe || deqHasReplayInst)
4919aca92b9SYinan Xu
492571677c9Sxiaofeibao-xjtu  val isVsetFlushPipe = deqPtrEntry.commit_w && deqHasFlushPipe && exceptionDataRead.bits.isVset
493a8db15d8Sfdy  //  val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush)
494a8db15d8Sfdy  val needModifyFtqIdxOffset = false.B
495a8db15d8Sfdy  io.isVsetFlushPipe := isVsetFlushPipe
496f4b2089aSYinan Xu  // io.flushOut will trigger redirect at the next cycle.
497f4b2089aSYinan Xu  // Block any redirect or commit at the next cycle.
498f4b2089aSYinan Xu  val lastCycleFlush = RegNext(io.flushOut.valid)
499f4b2089aSYinan Xu
500571677c9Sxiaofeibao-xjtu  io.flushOut.valid := (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException || isFlushPipe) && !lastCycleFlush
501f4b2089aSYinan Xu  io.flushOut.bits := DontCare
50214a67055Ssfencevma  io.flushOut.bits.isRVC := deqDispatchData.isRVC
5034aa9ed34Sfdy  io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr)
5044aa9ed34Sfdy  io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx)
5054aa9ed34Sfdy  io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset)
506571677c9Sxiaofeibao-xjtu  io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || deqHasException || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next"
507f4b2089aSYinan Xu  io.flushOut.bits.interrupt := true.B
5089aca92b9SYinan Xu  XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable)
509571677c9Sxiaofeibao-xjtu  XSPerfAccumulate("exception_num", io.flushOut.valid && deqHasException)
5109aca92b9SYinan Xu  XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe)
5119aca92b9SYinan Xu  XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst)
5129aca92b9SYinan Xu
513571677c9Sxiaofeibao-xjtu  val exceptionHappen = (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException) && !lastCycleFlush
5149aca92b9SYinan Xu  io.exception.valid := RegNext(exceptionHappen)
5153b739f49SXuan Hu  io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen)
5166f483f86SXuan Hu  io.exception.bits.gpaddr := io.readGPAMemData
5173b739f49SXuan Hu  io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen)
5183b739f49SXuan Hu  io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen)
5193b739f49SXuan Hu  io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen)
5203b739f49SXuan Hu  io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen)
5213b739f49SXuan Hu  io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen)
5229aca92b9SYinan Xu  io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen)
523e25e4d90SXuan Hu  io.exception.bits.isHls := RegEnable(deqDispatchData.isHls, exceptionHappen)
524780712aaSxiaofeibao-xjtu  io.exception.bits.vls := RegEnable(robEntries(deqPtr.value).vls, exceptionHappen)
525f7af4c74Schengguanghui  io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen)
5269aca92b9SYinan Xu
5276f483f86SXuan Hu  // data will be one cycle after valid
5286f483f86SXuan Hu  io.readGPAMemAddr.valid := exceptionHappen
5296f483f86SXuan Hu  io.readGPAMemAddr.bits.ftqPtr := exceptionDataRead.bits.ftqPtr
5306f483f86SXuan Hu  io.readGPAMemAddr.bits.ftqOffset := exceptionDataRead.bits.ftqOffset
5316f483f86SXuan Hu
5329aca92b9SYinan Xu  XSDebug(io.flushOut.valid,
5333b739f49SXuan Hu    p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " +
534571677c9Sxiaofeibao-xjtu      p"excp $deqHasException flushPipe $isFlushPipe " +
5359aca92b9SYinan Xu      p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n")
5369aca92b9SYinan Xu
5379aca92b9SYinan Xu
5389aca92b9SYinan Xu  /**
5399aca92b9SYinan Xu   * Commits (and walk)
5409aca92b9SYinan Xu   * They share the same width.
5419aca92b9SYinan Xu   */
542780712aaSxiaofeibao-xjtu  // T redirect.valid, T+1 use walkPtrVec read robEntries, T+2 start walk, shouldWalkVec used in T+2
543780712aaSxiaofeibao-xjtu  val shouldWalkVec = Wire(Vec(CommitWidth,Bool()))
544780712aaSxiaofeibao-xjtu  val walkingPtrVec = RegNext(walkPtrVec)
545780712aaSxiaofeibao-xjtu  when(io.redirect.valid){
546780712aaSxiaofeibao-xjtu    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
547780712aaSxiaofeibao-xjtu  }.elsewhen(RegNext(io.redirect.valid)){
548780712aaSxiaofeibao-xjtu    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
549780712aaSxiaofeibao-xjtu  }.elsewhen(state === s_walk){
550780712aaSxiaofeibao-xjtu    shouldWalkVec := VecInit(walkingPtrVec.map(_ <= lastWalkPtr).zip(donotNeedWalk).map(x => x._1 && !x._2))
551780712aaSxiaofeibao-xjtu  }.otherwise(
552780712aaSxiaofeibao-xjtu    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
553780712aaSxiaofeibao-xjtu  )
554c0f8424bSzhanglyGit  val walkFinished = walkPtrTrue > lastWalkPtr
55565f65924SXuan Hu  rab.io.fromRob.walkEnd := state === s_walk && walkFinished
5564c7680e0SXuan Hu  vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished
5579aca92b9SYinan Xu
5589aca92b9SYinan Xu  require(RenameWidth <= CommitWidth)
5599aca92b9SYinan Xu
5609aca92b9SYinan Xu  // wiring to csr
561f1ba628bSHaojin Tang  val (wflags, dirtyFs) = (0 until CommitWidth).map(i => {
5626474c47fSYinan Xu    val v = io.commits.commitValid(i)
5639aca92b9SYinan Xu    val info = io.commits.info(i)
564780712aaSxiaofeibao-xjtu    (v & info.wflags, v & info.dirtyFs)
5659aca92b9SYinan Xu  }).unzip
5669aca92b9SYinan Xu  val fflags = Wire(Valid(UInt(5.W)))
5676474c47fSYinan Xu  fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR
5689aca92b9SYinan Xu  fflags.bits := wflags.zip(fflagsDataRead).map({
5699aca92b9SYinan Xu    case (w, f) => Mux(w, f, 0.U)
5709aca92b9SYinan Xu  }).reduce(_ | _)
5713af3539fSZiyue Zhang  val dirtyVs = (0 until CommitWidth).map(i => {
5723af3539fSZiyue Zhang    val v = io.commits.commitValid(i)
5733af3539fSZiyue Zhang    val info = io.commits.info(i)
5743af3539fSZiyue Zhang    v & info.dirtyVs
5753af3539fSZiyue Zhang  })
576f1ba628bSHaojin Tang  val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR
5773af3539fSZiyue Zhang  val dirty_vs = io.commits.isCommit && VecInit(dirtyVs).asUInt.orR
5789aca92b9SYinan Xu
5795110577fSZiyue Zhang  val resetVstart = dirty_vs && !io.vstartIsZero
5805110577fSZiyue Zhang
5815110577fSZiyue Zhang  io.csr.vstart.valid := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstartEn, resetVstart))
5825110577fSZiyue Zhang  io.csr.vstart.bits := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstart, 0.U))
5835110577fSZiyue Zhang
584a8db15d8Sfdy  val vxsat = Wire(Valid(Bool()))
585a8db15d8Sfdy  vxsat.valid := io.commits.isCommit && vxsat.bits
586a8db15d8Sfdy  vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map {
587a8db15d8Sfdy    case (valid, vxsat) => valid & vxsat
588a8db15d8Sfdy  }.reduce(_ | _)
589a8db15d8Sfdy
5909aca92b9SYinan Xu  // when mispredict branches writeback, stop commit in the next 2 cycles
5919aca92b9SYinan Xu  // TODO: don't check all exu write back
5923b739f49SXuan Hu  val misPredWb = Cat(VecInit(redirectWBs.map(wb =>
5932f2ee3b1SXuan Hu    wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid
59483ba63b3SXuan Hu  ).toSeq)).orR
5959aca92b9SYinan Xu  val misPredBlockCounter = Reg(UInt(3.W))
5969aca92b9SYinan Xu  misPredBlockCounter := Mux(misPredWb,
5979aca92b9SYinan Xu    "b111".U,
5989aca92b9SYinan Xu    misPredBlockCounter >> 1.U
5999aca92b9SYinan Xu  )
6009aca92b9SYinan Xu  val misPredBlock = misPredBlockCounter(0)
601571677c9Sxiaofeibao-xjtu  val deqFlushBlockCounter = Reg(UInt(3.W))
602571677c9Sxiaofeibao-xjtu  val deqFlushBlock = deqFlushBlockCounter(0)
603571677c9Sxiaofeibao-xjtu  val deqHasFlushed = Reg(Bool())
604571677c9Sxiaofeibao-xjtu  val deqHitRedirectReg = RegNext(io.redirect.valid && io.redirect.bits.robIdx === deqPtr)
605571677c9Sxiaofeibao-xjtu  when(deqNeedFlush && deqHitRedirectReg){
606571677c9Sxiaofeibao-xjtu    deqFlushBlockCounter := "b111".U
607571677c9Sxiaofeibao-xjtu  }.otherwise{
608571677c9Sxiaofeibao-xjtu    deqFlushBlockCounter := deqFlushBlockCounter >> 1.U
609571677c9Sxiaofeibao-xjtu  }
610571677c9Sxiaofeibao-xjtu  when(deqNeedFlush && io.flushOut.valid){
611571677c9Sxiaofeibao-xjtu    deqHasFlushed := true.B
612571677c9Sxiaofeibao-xjtu  }.elsewhen(!deqNeedFlush){
613571677c9Sxiaofeibao-xjtu    deqHasFlushed := false.B
614571677c9Sxiaofeibao-xjtu  }
615571677c9Sxiaofeibao-xjtu  val blockCommit = misPredBlock || lastCycleFlush || hasWFI || io.redirect.valid || (deqNeedFlush && !deqHasFlushed && !deqHasFlushPipe) || deqFlushBlock
6169aca92b9SYinan Xu
617ccfddc82SHaojin Tang  io.commits.isWalk := state === s_walk
6186474c47fSYinan Xu  io.commits.isCommit := state === s_idle && !blockCommit
619780712aaSxiaofeibao-xjtu
620780712aaSxiaofeibao-xjtu  val walk_v = VecInit(walkingPtrVec.map(ptr => robEntries(ptr.value).valid))
621780712aaSxiaofeibao-xjtu  val commit_vDeqGroup = VecInit(robDeqGroup.map(_.commit_v))
622780712aaSxiaofeibao-xjtu  val commit_wDeqGroup = VecInit(robDeqGroup.map(_.commit_w))
623780712aaSxiaofeibao-xjtu  val realCommitLast = deqPtrVec(0).lineHeadPtr + Fill(bankAddrWidth, 1.U)
624780712aaSxiaofeibao-xjtu  val commit_block = VecInit((0 until CommitWidth).map(i => !commit_wDeqGroup(i) && !hasCommitted(i)))
625571677c9Sxiaofeibao-xjtu  val allowOnlyOneCommit = VecInit(robDeqGroup.map(x => x.commit_v && x.needFlush)).asUInt.orR || intrBitSetReg
6269aca92b9SYinan Xu  // for instructions that may block others, we don't allow them to commit
627780712aaSxiaofeibao-xjtu  io.commits.commitValid := PriorityMux(commitValidThisLine, (0 until CommitWidth).map(i => (commitValidThisLine.asUInt >> i).asUInt.asTypeOf(io.commits.commitValid)))
628571677c9Sxiaofeibao-xjtu
6299aca92b9SYinan Xu  for (i <- 0 until CommitWidth) {
6309aca92b9SYinan Xu    // defaults: state === s_idle and instructions commit
6319aca92b9SYinan Xu    // when intrBitSetReg, allow only one instruction to commit at each clock cycle
632571677c9Sxiaofeibao-xjtu    val isBlocked = intrEnable || (deqNeedFlush && !deqHasFlushed && !deqHasFlushPipe)
633780712aaSxiaofeibao-xjtu    val isBlockedByOlder = if (i != 0) commit_block.asUInt(i, 0).orR || allowOnlyOneCommit && !hasCommitted.asUInt(i - 1, 0).andR else false.B
634780712aaSxiaofeibao-xjtu    commitValidThisLine(i) := commit_vDeqGroup(i) && commit_wDeqGroup(i) && !isBlocked && !isBlockedByOlder && !hasCommitted(i)
635780712aaSxiaofeibao-xjtu    io.commits.info(i) := commitInfo(i)
636fa7f2c26STang Haojin    io.commits.robIdx(i) := deqPtrVec(i)
6379aca92b9SYinan Xu
6386474c47fSYinan Xu    io.commits.walkValid(i) := shouldWalkVec(i)
639935edac4STang Haojin    when(state === s_walk) {
6406474c47fSYinan Xu      when(io.commits.isWalk && state === s_walk && shouldWalkVec(i)) {
641ef8fa011SXuan Hu        XSError(!walk_v(i), s"The walking entry($i) should be valid\n")
6426474c47fSYinan Xu      }
6439aca92b9SYinan Xu    }
6449aca92b9SYinan Xu
6456474c47fSYinan Xu    XSInfo(io.commits.isCommit && io.commits.commitValid(i),
646c61abc0cSXuan Hu      "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n",
6473b739f49SXuan Hu      debug_microOp(deqPtrVec(i).value).pc,
6489aca92b9SYinan Xu      io.commits.info(i).rfWen,
649780712aaSxiaofeibao-xjtu      io.commits.info(i).debug_ldest.getOrElse(0.U),
650780712aaSxiaofeibao-xjtu      io.commits.info(i).debug_pdest.getOrElse(0.U),
6519aca92b9SYinan Xu      debug_exuData(deqPtrVec(i).value),
652a8db15d8Sfdy      fflagsDataRead(i),
653a8db15d8Sfdy      vxsatDataRead(i)
6549aca92b9SYinan Xu    )
6556474c47fSYinan Xu    XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n",
6563b739f49SXuan Hu      debug_microOp(walkPtrVec(i).value).pc,
6579aca92b9SYinan Xu      io.commits.info(i).rfWen,
658780712aaSxiaofeibao-xjtu      io.commits.info(i).debug_ldest.getOrElse(0.U),
6599aca92b9SYinan Xu      debug_exuData(walkPtrVec(i).value)
6609aca92b9SYinan Xu    )
6619aca92b9SYinan Xu  }
6629aca92b9SYinan Xu
663a8db15d8Sfdy  // sync fflags/dirty_fs/vxsat to csr
664056ddc44SXuan Hu  io.csr.fflags   := RegNextWithEnable(fflags)
665056ddc44SXuan Hu  io.csr.dirty_fs := GatedValidRegNext(dirty_fs)
666056ddc44SXuan Hu  io.csr.dirty_vs := GatedValidRegNext(dirty_vs)
667056ddc44SXuan Hu  io.csr.vxsat    := RegNextWithEnable(vxsat)
6689aca92b9SYinan Xu
6699aca92b9SYinan Xu  // commit load/store to lsq
6706474c47fSYinan Xu  val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD))
67186c54d62SXuan Hu  // TODO: Check if meet the require that only set scommit when commit scala store uop
67225df626eSgood-circle  val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE && !robEntries(deqPtrVec(i).value).vls ))
67320a5248fSzhanglinjuan  val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr)))
6746474c47fSYinan Xu  io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U))
6756474c47fSYinan Xu  io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U))
6766474c47fSYinan Xu  // indicate a pending load or store
677780712aaSxiaofeibao-xjtu  io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).mmio)
678552da88aSXuan Hu  // TODO: Check if need deassert pendingst when it is vst
679780712aaSxiaofeibao-xjtu  io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid)
680552da88aSXuan Hu  // TODO: Check if set correctly when vector store is at the head of ROB
68125df626eSgood-circle  io.lsq.pendingVst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).vls)
6826474c47fSYinan Xu  io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0))
683e4f69d78Ssfencevma  io.lsq.pendingPtr := RegNext(deqPtr)
68420a5248fSzhanglinjuan  io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head)
6859aca92b9SYinan Xu
6869aca92b9SYinan Xu  /**
6879aca92b9SYinan Xu   * state changes
688ccfddc82SHaojin Tang   * (1) redirect: switch to s_walk
689ccfddc82SHaojin Tang   * (2) walk: when walking comes to the end, switch to s_idle
6909aca92b9SYinan Xu   */
6914c7680e0SXuan Hu  val state_next = Mux(
692780712aaSxiaofeibao-xjtu    io.redirect.valid || RegNext(io.redirect.valid), s_walk,
6934c7680e0SXuan Hu    Mux(
6944c7680e0SXuan Hu      state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle,
6954c7680e0SXuan Hu      state
6964c7680e0SXuan Hu    )
6974c7680e0SXuan Hu  )
6987e8294acSYinan Xu  XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle)
6997e8294acSYinan Xu  XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk)
7007e8294acSYinan Xu  XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle)
7017e8294acSYinan Xu  XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk)
7029aca92b9SYinan Xu  state := state_next
7039aca92b9SYinan Xu
7049aca92b9SYinan Xu  /**
7059aca92b9SYinan Xu   * pointers and counters
7069aca92b9SYinan Xu   */
707780712aaSxiaofeibao-xjtu  val deqPtrGenModule = Module(new NewRobDeqPtrWrapper)
7089aca92b9SYinan Xu  deqPtrGenModule.io.state := state
709cda1c534Sxiaofeibao-xjtu  deqPtrGenModule.io.deq_v := commit_vDeqGroup
710cda1c534Sxiaofeibao-xjtu  deqPtrGenModule.io.deq_w := commit_wDeqGroup
7119aca92b9SYinan Xu  deqPtrGenModule.io.exception_state := exceptionDataRead
7129aca92b9SYinan Xu  deqPtrGenModule.io.intrBitSetReg := intrBitSetReg
7133b739f49SXuan Hu  deqPtrGenModule.io.hasNoSpecExec := hasWaitForward
714571677c9Sxiaofeibao-xjtu  deqPtrGenModule.io.allowOnlyOneCommit := allowOnlyOneCommit
7151bd36f96Sxiao feibao  deqPtrGenModule.io.interrupt_safe := robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe
7166474c47fSYinan Xu  deqPtrGenModule.io.blockCommit := blockCommit
717780712aaSxiaofeibao-xjtu  deqPtrGenModule.io.hasCommitted := hasCommitted
718780712aaSxiaofeibao-xjtu  deqPtrGenModule.io.allCommitted := allCommitted
7199aca92b9SYinan Xu  deqPtrVec := deqPtrGenModule.io.out
72020a5248fSzhanglinjuan  deqPtrVec_next := deqPtrGenModule.io.next_out
7219aca92b9SYinan Xu
7229aca92b9SYinan Xu  val enqPtrGenModule = Module(new RobEnqPtrWrapper)
7239aca92b9SYinan Xu  enqPtrGenModule.io.redirect := io.redirect
72444369838SXuan Hu  enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq
7259aca92b9SYinan Xu  enqPtrGenModule.io.hasBlockBackward := hasBlockBackward
726a8db15d8Sfdy  enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop))
7276474c47fSYinan Xu  enqPtrVec := enqPtrGenModule.io.out
7289aca92b9SYinan Xu
7299aca92b9SYinan Xu  // next walkPtrVec:
7309aca92b9SYinan Xu  // (1) redirect occurs: update according to state
731ccfddc82SHaojin Tang  // (2) walk: move forwards
732780712aaSxiaofeibao-xjtu  val deqPtrReadBank = deqPtrVec_next(0).lineHeadPtr
733780712aaSxiaofeibao-xjtu  val deqPtrVecForWalk = VecInit((0 until CommitWidth).map(i => deqPtrReadBank + i.U))
734780712aaSxiaofeibao-xjtu  val snapPtrReadBank = snapshots(io.snpt.snptSelect)(0).lineHeadPtr
735780712aaSxiaofeibao-xjtu  val snapPtrVecForWalk = VecInit((0 until CommitWidth).map(i => snapPtrReadBank + i.U))
736c0f8424bSzhanglyGit  val walkPtrVec_next: Vec[RobPtr] = Mux(io.redirect.valid,
737780712aaSxiaofeibao-xjtu    Mux(io.snpt.useSnpt, snapPtrVecForWalk, deqPtrVecForWalk),
738780712aaSxiaofeibao-xjtu    Mux((state === s_walk) && !walkFinished, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec)
7399aca92b9SYinan Xu  )
740c0f8424bSzhanglyGit  val walkPtrTrue_next: RobPtr = Mux(io.redirect.valid,
741c0f8424bSzhanglyGit    Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0), deqPtrVec_next(0)),
742c0f8424bSzhanglyGit    Mux((state === s_walk) && !walkFinished, walkPtrVec_next.head, walkPtrTrue)
743c0f8424bSzhanglyGit  )
744780712aaSxiaofeibao-xjtu  walkPtrHead := walkPtrVec_next.head
7459aca92b9SYinan Xu  walkPtrVec := walkPtrVec_next
746c0f8424bSzhanglyGit  walkPtrTrue := walkPtrTrue_next
747780712aaSxiaofeibao-xjtu  // T io.redirect.valid, T+1 walkPtrLowBits update, T+2 donotNeedWalk update
748780712aaSxiaofeibao-xjtu  val walkPtrLowBits = Reg(UInt(bankAddrWidth.W))
749780712aaSxiaofeibao-xjtu  when(io.redirect.valid){
750780712aaSxiaofeibao-xjtu    walkPtrLowBits := Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0).value(bankAddrWidth-1, 0), deqPtrVec_next(0).value(bankAddrWidth-1, 0))
751780712aaSxiaofeibao-xjtu  }
752780712aaSxiaofeibao-xjtu  when(io.redirect.valid) {
753780712aaSxiaofeibao-xjtu    donotNeedWalk := Fill(donotNeedWalk.length, true.B).asTypeOf(donotNeedWalk)
754780712aaSxiaofeibao-xjtu  }.elsewhen(RegNext(io.redirect.valid)){
755780712aaSxiaofeibao-xjtu    donotNeedWalk := (0 until CommitWidth).map(i => (i.U < walkPtrLowBits))
756c0f8424bSzhanglyGit  }.otherwise{
757780712aaSxiaofeibao-xjtu    donotNeedWalk := 0.U.asTypeOf(donotNeedWalk)
758c0f8424bSzhanglyGit  }
759cda1c534Sxiaofeibao-xjtu  walkDestSizeDeqGroup.zip(walkPtrVec_next).map {
760780712aaSxiaofeibao-xjtu    case (reg, ptrNext) => reg := robEntries(deqPtr.value).realDestSize
761cda1c534Sxiaofeibao-xjtu  }
76275b25016SYinan Xu  val numValidEntries = distanceBetween(enqPtr, deqPtr)
763a8db15d8Sfdy  val commitCnt = PopCount(io.commits.commitValid)
7649aca92b9SYinan Xu
765780712aaSxiaofeibao-xjtu  allowEnqueue := numValidEntries + dispatchNum <= (RobSize - CommitWidth).U
7669aca92b9SYinan Xu
767ccfddc82SHaojin Tang  val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0))
7689aca92b9SYinan Xu  when(io.redirect.valid) {
769dcf3a679STang Haojin    lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx)
7709aca92b9SYinan Xu  }
7719aca92b9SYinan Xu
7729aca92b9SYinan Xu
7739aca92b9SYinan Xu  /**
7749aca92b9SYinan Xu   * States
7759aca92b9SYinan Xu   * We put all the stage bits changes here.
776780712aaSxiaofeibao-xjtu   *
7779aca92b9SYinan Xu   * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit);
7789aca92b9SYinan Xu   * All states: (1) valid; (2) writebacked; (3) flagBkup
7799aca92b9SYinan Xu   */
780cda1c534Sxiaofeibao-xjtu
781780712aaSxiaofeibao-xjtu  val deqPtrGroup = Wire(Vec(2 * CommitWidth, new RobPtr))
782780712aaSxiaofeibao-xjtu  deqPtrGroup.zipWithIndex.map { case (deq, i) => deq := deqPtrVec(0) + i.U }
7839aca92b9SYinan Xu  val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value)))
7849aca92b9SYinan Xu
785780712aaSxiaofeibao-xjtu  val redirectValidReg = RegNext(io.redirect.valid)
786780712aaSxiaofeibao-xjtu  val redirectBegin = Reg(UInt(log2Up(RobSize).W))
787780712aaSxiaofeibao-xjtu  val redirectEnd = Reg(UInt(log2Up(RobSize).W))
788ccfddc82SHaojin Tang  when(io.redirect.valid){
789780712aaSxiaofeibao-xjtu    redirectBegin := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx.value - 1.U, io.redirect.bits.robIdx.value)
790780712aaSxiaofeibao-xjtu    redirectEnd := enqPtr.value
791ccfddc82SHaojin Tang  }
792780712aaSxiaofeibao-xjtu
793780712aaSxiaofeibao-xjtu  // update robEntries valid
794780712aaSxiaofeibao-xjtu  for (i <- 0 until RobSize) {
795780712aaSxiaofeibao-xjtu    val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2))
796780712aaSxiaofeibao-xjtu    val commitCond = io.commits.isCommit && io.commits.commitValid.zip(deqPtrVec.map(_.value === i.U)).map(x => x._1 && x._2).reduce(_ || _)
797780712aaSxiaofeibao-xjtu    assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot")
798780712aaSxiaofeibao-xjtu    val needFlush = redirectValidReg && Mux(
799780712aaSxiaofeibao-xjtu      redirectEnd > redirectBegin,
800780712aaSxiaofeibao-xjtu      (i.U > redirectBegin) && (i.U < redirectEnd),
801780712aaSxiaofeibao-xjtu      (i.U > redirectBegin) || (i.U < redirectEnd)
802780712aaSxiaofeibao-xjtu    )
803780712aaSxiaofeibao-xjtu    when(reset.asBool) {
804780712aaSxiaofeibao-xjtu      robEntries(i).valid := false.B
805780712aaSxiaofeibao-xjtu    }.elsewhen(commitCond) {
806780712aaSxiaofeibao-xjtu      robEntries(i).valid := false.B
807780712aaSxiaofeibao-xjtu    }.elsewhen(enqOH.asUInt.orR && !io.redirect.valid) {
808780712aaSxiaofeibao-xjtu      robEntries(i).valid := true.B
809780712aaSxiaofeibao-xjtu    }.elsewhen(needFlush){
810780712aaSxiaofeibao-xjtu      robEntries(i).valid := false.B
8119aca92b9SYinan Xu    }
8129aca92b9SYinan Xu  }
8139aca92b9SYinan Xu
8148744445eSMaxpicca-Li  // debug_inst update
815870f462dSXuan Hu  for (i <- 0 until (LduCnt + StaCnt)) {
8168744445eSMaxpicca-Li    debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i))
8178744445eSMaxpicca-Li    debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i))
8184d931b73SYanqin Li    debug_lsInfo(io.debug_ls.debugLsInfo(i).s3_robIdx).s3SignalEnable(io.debug_ls.debugLsInfo(i))
8198744445eSMaxpicca-Li  }
820870f462dSXuan Hu  for (i <- 0 until LduCnt) {
821d2b20d1aSTang Haojin    debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i))
822d2b20d1aSTang Haojin    debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i))
823d2b20d1aSTang Haojin  }
8248744445eSMaxpicca-Li
825f7af4c74Schengguanghui  // status field: writebacked
826f7af4c74Schengguanghui  // enqueue logic set 6 writebacked to false
827f7af4c74Schengguanghui  for (i <- 0 until RenameWidth) {
828f7af4c74Schengguanghui    when(canEnqueue(i)) {
829f7af4c74Schengguanghui      val enqHasException = ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec).asUInt.orR
830f7af4c74Schengguanghui      val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire
831f7af4c74Schengguanghui      val enqIsWritebacked = io.enq.req(i).bits.eliminatedMove
832f7af4c74Schengguanghui      val isStu = FuType.isStore(io.enq.req(i).bits.fuType)
833780712aaSxiaofeibao-xjtu      robEntries(allocatePtrVec(i).value).commitTrigger := enqIsWritebacked && !enqHasException && !enqHasTriggerCanFire && !isStu
834f7af4c74Schengguanghui    }
835f7af4c74Schengguanghui  }
836f7af4c74Schengguanghui  when(exceptionGen.io.out.valid) {
837f7af4c74Schengguanghui    val wbIdx = exceptionGen.io.out.bits.robIdx.value
838780712aaSxiaofeibao-xjtu    robEntries(wbIdx).commitTrigger := true.B
839f7af4c74Schengguanghui  }
840f7af4c74Schengguanghui
8419aca92b9SYinan Xu  // writeback logic set numWbPorts writebacked to true
842a8db15d8Sfdy  val blockWbSeq = Wire(Vec(exuWBs.length, Bool()))
843a8db15d8Sfdy  blockWbSeq.map(_ := false.B)
844a8db15d8Sfdy  for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) {
8456ab6918fSYinan Xu    when(wb.valid) {
846f7af4c74Schengguanghui      val wbIdx = wb.bits.robIdx.value
8473b739f49SXuan Hu      val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR
848f7af4c74Schengguanghui      val wbHasTriggerCanFire = wb.bits.trigger.getOrElse(0.U).asTypeOf(io.enq.req(0).bits.trigger).getBackendCanFire //Todo: wb.bits.trigger.getHitBackend
8493b739f49SXuan Hu      val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B)
8503b739f49SXuan Hu      val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst
851f7af4c74Schengguanghui      blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerCanFire
852780712aaSxiaofeibao-xjtu      robEntries(wbIdx).commitTrigger := !blockWb
8539aca92b9SYinan Xu    }
8549aca92b9SYinan Xu  }
855a8db15d8Sfdy
856a8db15d8Sfdy  // if the first uop of an instruction is valid , write writebackedCounter
857a8db15d8Sfdy  val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid)
858a8db15d8Sfdy  val instEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid && req.bits.firstUop)
859a8db15d8Sfdy  val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf)
860a8db15d8Sfdy  val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value)
861f1e8fcb2SXuan Hu  val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops))
8623235a9d8SZiyue-Zhang  val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB))
863f1e8fcb2SXuan Hu  val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove))
864a8db15d8Sfdy
865f1e8fcb2SXuan Hu  private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map {
866f1e8fcb2SXuan Hu    req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType)
867f1e8fcb2SXuan Hu  })
868cda1c534Sxiaofeibao-xjtu  val fflags_wb = fflagsWBs
869cda1c534Sxiaofeibao-xjtu  val vxsat_wb = vxsatWBs
870a8db15d8Sfdy  for (i <- 0 until RobSize) {
871a8db15d8Sfdy
872a8db15d8Sfdy    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U)
873a8db15d8Sfdy    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
874a8db15d8Sfdy    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
875a8db15d8Sfdy    val instCanEnqFlag = Cat(instCanEnqSeq).orR
876780712aaSxiaofeibao-xjtu    val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid })
877780712aaSxiaofeibao-xjtu    when(!robEntries(i).valid && instCanEnqFlag){
878780712aaSxiaofeibao-xjtu      robEntries(i).realDestSize := realDestEnqNum
87911a54ccaSsinsanction    }.elsewhen(robEntries(i).valid && Cat(uopCanEnqSeq).orR){
880780712aaSxiaofeibao-xjtu      robEntries(i).realDestSize := robEntries(i).realDestSize + realDestEnqNum
881780712aaSxiaofeibao-xjtu    }
882f1e8fcb2SXuan Hu    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
8833235a9d8SZiyue-Zhang    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
884f1e8fcb2SXuan Hu    val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec)
885f1e8fcb2SXuan Hu    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
886a8db15d8Sfdy
887a8db15d8Sfdy    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
888a8db15d8Sfdy    val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb }
889f1e8fcb2SXuan Hu    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U))
890571677c9Sxiaofeibao-xjtu    val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits))
89189cc69c1STang Haojin
892571677c9Sxiaofeibao-xjtu    val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
893571677c9Sxiaofeibao-xjtu    val needFlush = robEntries(i).needFlush
894571677c9Sxiaofeibao-xjtu    val needFlushWriteBack = Wire(Bool())
895571677c9Sxiaofeibao-xjtu    needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush)
896571677c9Sxiaofeibao-xjtu    when(robEntries(i).valid){
897571677c9Sxiaofeibao-xjtu      needFlush := needFlush || needFlushWriteBack
898571677c9Sxiaofeibao-xjtu    }
89989cc69c1STang Haojin
900571677c9Sxiaofeibao-xjtu    when(robEntries(i).valid && (needFlush || needFlushWriteBack)) {
901f1e8fcb2SXuan Hu      // exception flush
902571677c9Sxiaofeibao-xjtu      robEntries(i).uopNum := robEntries(i).uopNum - wbCnt
903780712aaSxiaofeibao-xjtu      robEntries(i).stdWritebacked := true.B
904780712aaSxiaofeibao-xjtu    }.elsewhen(!robEntries(i).valid && instCanEnqFlag) {
905f1e8fcb2SXuan Hu      // enq set num of uops
906780712aaSxiaofeibao-xjtu      robEntries(i).uopNum := enqWBNum
907780712aaSxiaofeibao-xjtu      robEntries(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B)
908780712aaSxiaofeibao-xjtu    }.elsewhen(robEntries(i).valid) {
909f1e8fcb2SXuan Hu      // update by writing back
910780712aaSxiaofeibao-xjtu      robEntries(i).uopNum := robEntries(i).uopNum - wbCnt
911780712aaSxiaofeibao-xjtu      assert(!(robEntries(i).uopNum - wbCnt > robEntries(i).uopNum), s"robEntries $i uopNum is overflow!")
912f1e8fcb2SXuan Hu      when(canStdWbSeq.asUInt.orR) {
913780712aaSxiaofeibao-xjtu        robEntries(i).stdWritebacked := true.B
914cda1c534Sxiaofeibao-xjtu      }
915f1e8fcb2SXuan Hu    }
916a8db15d8Sfdy
9173bc74e23SzhanglyGit    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B))
91827c566d7SXuan Hu    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
919780712aaSxiaofeibao-xjtu    robEntries(i).fflags := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).fflags | fflagsRes)
920a8db15d8Sfdy
921a8db15d8Sfdy    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
92227c566d7SXuan Hu    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
923780712aaSxiaofeibao-xjtu    robEntries(i).vxsat := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).vxsat | vxsatRes)
9249aca92b9SYinan Xu  }
925780712aaSxiaofeibao-xjtu
926780712aaSxiaofeibao-xjtu  // begin update robBanksRdata
927780712aaSxiaofeibao-xjtu  val robBanksRdata = VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine)
928780712aaSxiaofeibao-xjtu  val needUpdate = Wire(Vec(2 * CommitWidth, new RobEntryBundle))
929780712aaSxiaofeibao-xjtu  needUpdate := VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine)
930780712aaSxiaofeibao-xjtu  val needUpdateRobIdx = robIdxThisLine ++ robIdxNextLine
931cda1c534Sxiaofeibao-xjtu  for (i <- 0 until 2 * CommitWidth) {
932780712aaSxiaofeibao-xjtu    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === needUpdateRobIdx(i))
933cda1c534Sxiaofeibao-xjtu    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
934cda1c534Sxiaofeibao-xjtu    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
935cda1c534Sxiaofeibao-xjtu    val instCanEnqFlag = Cat(instCanEnqSeq).orR
936780712aaSxiaofeibao-xjtu    val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid })
937780712aaSxiaofeibao-xjtu    when(!needUpdate(i).valid && instCanEnqFlag) {
938780712aaSxiaofeibao-xjtu      needUpdate(i).realDestSize := realDestEnqNum
939780712aaSxiaofeibao-xjtu    }.elsewhen(needUpdate(i).valid && instCanEnqFlag) {
940780712aaSxiaofeibao-xjtu      needUpdate(i).realDestSize := robBanksRdata(i).realDestSize + realDestEnqNum
941cda1c534Sxiaofeibao-xjtu    }
942780712aaSxiaofeibao-xjtu    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
943780712aaSxiaofeibao-xjtu    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
944780712aaSxiaofeibao-xjtu    val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec)
945780712aaSxiaofeibao-xjtu    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
946780712aaSxiaofeibao-xjtu
947780712aaSxiaofeibao-xjtu    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))
948780712aaSxiaofeibao-xjtu    val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb }
949780712aaSxiaofeibao-xjtu    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)))
950571677c9Sxiaofeibao-xjtu    val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits))
951780712aaSxiaofeibao-xjtu
952571677c9Sxiaofeibao-xjtu    val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && (writeback.bits.robIdx.value === needUpdateRobIdx(i)))
953571677c9Sxiaofeibao-xjtu    val needFlush = robBanksRdata(i).needFlush
954571677c9Sxiaofeibao-xjtu    val needFlushWriteBack = Wire(Bool())
955571677c9Sxiaofeibao-xjtu    needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush)
956571677c9Sxiaofeibao-xjtu    when(needUpdate(i).valid) {
957571677c9Sxiaofeibao-xjtu      needUpdate(i).needFlush := needFlush || needFlushWriteBack
958571677c9Sxiaofeibao-xjtu    }
959780712aaSxiaofeibao-xjtu
960571677c9Sxiaofeibao-xjtu    when(needUpdate(i).valid && (needFlush || needFlushWriteBack)) {
961780712aaSxiaofeibao-xjtu      // exception flush
962571677c9Sxiaofeibao-xjtu      needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt
963780712aaSxiaofeibao-xjtu      needUpdate(i).stdWritebacked := true.B
964780712aaSxiaofeibao-xjtu    }.elsewhen(!needUpdate(i).valid && instCanEnqFlag) {
965780712aaSxiaofeibao-xjtu      // enq set num of uops
966780712aaSxiaofeibao-xjtu      needUpdate(i).uopNum := enqWBNum
967780712aaSxiaofeibao-xjtu      needUpdate(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B)
968780712aaSxiaofeibao-xjtu    }.elsewhen(needUpdate(i).valid) {
969780712aaSxiaofeibao-xjtu      // update by writing back
970780712aaSxiaofeibao-xjtu      needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt
971780712aaSxiaofeibao-xjtu      when(canStdWbSeq.asUInt.orR) {
972780712aaSxiaofeibao-xjtu        needUpdate(i).stdWritebacked := true.B
9739aca92b9SYinan Xu      }
9749aca92b9SYinan Xu    }
9759aca92b9SYinan Xu
976780712aaSxiaofeibao-xjtu    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i) && writeback.bits.wflags.getOrElse(false.B))
977780712aaSxiaofeibao-xjtu    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
978780712aaSxiaofeibao-xjtu    needUpdate(i).fflags := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).fflags | fflagsRes)
979780712aaSxiaofeibao-xjtu
980780712aaSxiaofeibao-xjtu    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))
981780712aaSxiaofeibao-xjtu    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
982780712aaSxiaofeibao-xjtu    needUpdate(i).vxsat := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).vxsat | vxsatRes)
983780712aaSxiaofeibao-xjtu  }
984780712aaSxiaofeibao-xjtu  robBanksRdataThisLineUpdate := VecInit(needUpdate.take(8))
985780712aaSxiaofeibao-xjtu  robBanksRdataNextLineUpdate := VecInit(needUpdate.drop(8))
986780712aaSxiaofeibao-xjtu  // end update robBanksRdata
987780712aaSxiaofeibao-xjtu
988e8009193SYinan Xu  // interrupt_safe
989e8009193SYinan Xu  for (i <- 0 until RenameWidth) {
990e8009193SYinan Xu    // We RegNext the updates for better timing.
991e8009193SYinan Xu    // Note that instructions won't change the system's states in this cycle.
992e8009193SYinan Xu    when(RegNext(canEnqueue(i))) {
993e8009193SYinan Xu      // For now, we allow non-load-store instructions to trigger interrupts
994e8009193SYinan Xu      // For MMIO instructions, they should not trigger interrupts since they may
995e8009193SYinan Xu      // be sent to lower level before it writes back.
996e8009193SYinan Xu      // However, we cannot determine whether a load/store instruction is MMIO.
997e8009193SYinan Xu      // Thus, we don't allow load/store instructions to trigger an interrupt.
998e8009193SYinan Xu      // TODO: support non-MMIO load-store instructions to trigger interrupts
9993b739f49SXuan Hu      val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType)
1000780712aaSxiaofeibao-xjtu      robEntries(RegEnable(allocatePtrVec(i).value, canEnqueue(i))).interrupt_safe := RegEnable(allow_interrupts, canEnqueue(i))
1001e8009193SYinan Xu    }
1002e8009193SYinan Xu  }
10039aca92b9SYinan Xu
10049aca92b9SYinan Xu  /**
10059aca92b9SYinan Xu   * read and write of data modules
10069aca92b9SYinan Xu   */
10079aca92b9SYinan Xu  val commitReadAddr_next = Mux(state_next === s_idle,
10089aca92b9SYinan Xu    VecInit(deqPtrVec_next.map(_.value)),
10099aca92b9SYinan Xu    VecInit(walkPtrVec_next.map(_.value))
10109aca92b9SYinan Xu  )
10119aca92b9SYinan Xu
10129aca92b9SYinan Xu  exceptionGen.io.redirect <> io.redirect
10139aca92b9SYinan Xu  exceptionGen.io.flush := io.flushOut.valid
1014a8db15d8Sfdy
1015a8db15d8Sfdy  val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept))
10169aca92b9SYinan Xu  for (i <- 0 until RenameWidth) {
1017a8db15d8Sfdy    exceptionGen.io.enq(i).valid := canEnqueueEG(i)
10189aca92b9SYinan Xu    exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx
10196f483f86SXuan Hu    exceptionGen.io.enq(i).bits.ftqPtr := io.enq.req(i).bits.ftqPtr
10206f483f86SXuan Hu    exceptionGen.io.enq(i).bits.ftqOffset := io.enq.req(i).bits.ftqOffset
10213b739f49SXuan Hu    exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec)
1022*3e8a0170SXuan Hu    exceptionGen.io.enq(i).bits.hasException := io.enq.req(i).bits.hasException
10233b739f49SXuan Hu    exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe
1024d91483a6Sfdy    exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset
1025d7dd1af1SLi Qianruo    exceptionGen.io.enq(i).bits.replayInst := false.B
10263b739f49SXuan Hu    XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst")
10273b739f49SXuan Hu    exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep
10283b739f49SXuan Hu    exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix
1029d7dd1af1SLi Qianruo    exceptionGen.io.enq(i).bits.trigger.clear()
10303b739f49SXuan Hu    exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit
1031f7af4c74Schengguanghui    exceptionGen.io.enq(i).bits.trigger.frontendCanFire := io.enq.req(i).bits.trigger.frontendCanFire
1032e703da02SzhanglyGit    exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare
1033e703da02SzhanglyGit    exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare
10349aca92b9SYinan Xu  }
10359aca92b9SYinan Xu
10366ab6918fSYinan Xu  println(s"ExceptionGen:")
10373b739f49SXuan Hu  println(s"num of exceptions: ${params.numException}")
10383b739f49SXuan Hu  require(exceptionWBs.length == exceptionGen.io.wb.length,
10393b739f49SXuan Hu    f"exceptionWBs.length: ${exceptionWBs.length}, " +
10403b739f49SXuan Hu      f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}")
10413b739f49SXuan Hu  for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) {
10426ab6918fSYinan Xu    exc_wb.valid       := wb.valid
10433b739f49SXuan Hu    exc_wb.bits.robIdx := wb.bits.robIdx
10446f483f86SXuan Hu    // only enq inst use ftqPtr to read gpa
10456f483f86SXuan Hu    exc_wb.bits.ftqPtr          := 0.U.asTypeOf(exc_wb.bits.ftqPtr)
10466f483f86SXuan Hu    exc_wb.bits.ftqOffset       := 0.U.asTypeOf(exc_wb.bits.ftqOffset)
10473b739f49SXuan Hu    exc_wb.bits.exceptionVec    := wb.bits.exceptionVec.get
1048*3e8a0170SXuan Hu    exc_wb.bits.hasException    := wb.bits.exceptionVec.get.asUInt.orR // Todo: use io.writebackNeedFlush(i) instead
10493b739f49SXuan Hu    exc_wb.bits.flushPipe       := wb.bits.flushPipe.getOrElse(false.B)
10504aa9ed34Sfdy    exc_wb.bits.isVset          := false.B
10513b739f49SXuan Hu    exc_wb.bits.replayInst      := wb.bits.replay.getOrElse(false.B)
10526ab6918fSYinan Xu    exc_wb.bits.singleStep      := false.B
10536ab6918fSYinan Xu    exc_wb.bits.crossPageIPFFix := false.B
1054f7af4c74Schengguanghui    // TODO: make trigger configurable
1055f7af4c74Schengguanghui    val trigger = wb.bits.trigger.getOrElse(0.U).asTypeOf(exc_wb.bits.trigger)
1056f7af4c74Schengguanghui    exc_wb.bits.trigger.clear() // Don't care frontend timing, chain, hit and canFire
1057f7af4c74Schengguanghui    exc_wb.bits.trigger.backendHit := trigger.backendHit
1058f7af4c74Schengguanghui    exc_wb.bits.trigger.backendCanFire := trigger.backendCanFire
1059e703da02SzhanglyGit    exc_wb.bits.vstartEn := false.B //wb.bits.vstartEn.getOrElse(false.B) // todo need add vstart in ExuOutput
1060e703da02SzhanglyGit    exc_wb.bits.vstart := 0.U //wb.bits.vstart.getOrElse(0.U)
10613b739f49SXuan Hu    //    println(s"  [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " +
10623b739f49SXuan Hu    //      s"flushPipe ${configs.exists(_.flushPipe)}, " +
10633b739f49SXuan Hu    //      s"replayInst ${configs.exists(_.replayInst)}")
10649aca92b9SYinan Xu  }
10659aca92b9SYinan Xu
1066780712aaSxiaofeibao-xjtu  fflagsDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).fflags)
1067780712aaSxiaofeibao-xjtu  vxsatDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).vxsat)
1068d91483a6Sfdy
10696474c47fSYinan Xu  val instrCntReg = RegInit(0.U(64.W))
10706474c47fSYinan Xu  val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) })
107189cc69c1STang Haojin  val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt
10726474c47fSYinan Xu  val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U)
10736474c47fSYinan Xu  val instrCnt = instrCntReg + retireCounter
10746474c47fSYinan Xu  instrCntReg := instrCnt
10756474c47fSYinan Xu  io.csr.perfinfo.retiredInstr := retireCounter
10769aca92b9SYinan Xu  io.robFull := !allowEnqueue
1077cda1c534Sxiaofeibao-xjtu  io.headNotReady := commit_vDeqGroup.head && !commit_wDeqGroup.head
10789aca92b9SYinan Xu
10799aca92b9SYinan Xu  /**
10809aca92b9SYinan Xu   * debug info
10819aca92b9SYinan Xu   */
10829aca92b9SYinan Xu  XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n")
10839aca92b9SYinan Xu  XSDebug("")
10842f2ee3b1SXuan Hu  XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n")
10859aca92b9SYinan Xu  for (i <- 0 until RobSize) {
1086780712aaSxiaofeibao-xjtu    XSDebug(false, !robEntries(i).valid, "-")
1087780712aaSxiaofeibao-xjtu    XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w")
1088780712aaSxiaofeibao-xjtu    XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v")
10899aca92b9SYinan Xu  }
10909aca92b9SYinan Xu  XSDebug(false, true.B, "\n")
10919aca92b9SYinan Xu
10929aca92b9SYinan Xu  for (i <- 0 until RobSize) {
10939aca92b9SYinan Xu    if (i % 4 == 0) XSDebug("")
10943b739f49SXuan Hu    XSDebug(false, true.B, "%x ", debug_microOp(i).pc)
1095780712aaSxiaofeibao-xjtu    XSDebug(false, !robEntries(i).valid, "- ")
1096780712aaSxiaofeibao-xjtu    XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w ")
1097780712aaSxiaofeibao-xjtu    XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v ")
10989aca92b9SYinan Xu    if (i % 4 == 3) XSDebug(false, true.B, "\n")
10999aca92b9SYinan Xu  }
11009aca92b9SYinan Xu
11016474c47fSYinan Xu  def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U)
1102780712aaSxiaofeibao-xjtu
11037e8294acSYinan Xu  def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U)
11049aca92b9SYinan Xu
11059aca92b9SYinan Xu  val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_))
11069aca92b9SYinan Xu  XSPerfAccumulate("clock_cycle", 1.U)
1107e986c5deSXuan Hu  QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U)
11089aca92b9SYinan Xu  XSPerfAccumulate("commitUop", ifCommit(commitCnt))
11097e8294acSYinan Xu  XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt))
1110ec9e6512Swakafa  XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset)
1111839e5512SZifei Zhang  XSPerfRolling("cpi", perfCnt = 1.U /*Cycle*/ , eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset)
1112780712aaSxiaofeibao-xjtu  val commitIsMove = commitInfo.map(_.isMove)
11136474c47fSYinan Xu  XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m })))
11149aca92b9SYinan Xu  val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove)
11156474c47fSYinan Xu  XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e })))
11167e8294acSYinan Xu  XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt))
11179aca92b9SYinan Xu  val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD)
11186474c47fSYinan Xu  val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map { case (v, t) => v && t }
11199aca92b9SYinan Xu  XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid)))
112020edb3f7SWilliam Wang  val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH)
11216474c47fSYinan Xu  val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map { case (v, t) => v && t }
112220edb3f7SWilliam Wang  XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid)))
1123780712aaSxiaofeibao-xjtu  val commitLoadWaitBit = commitInfo.map(_.loadWaitBit)
11249aca92b9SYinan Xu  XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w })))
11259aca92b9SYinan Xu  val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE)
11266474c47fSYinan Xu  XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t })))
1127780712aaSxiaofeibao-xjtu  XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => robEntries(i).valid && robEntries(i).isWritebacked)))
1128c51eab43SYinan Xu  // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire)))
11299aca92b9SYinan Xu  // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready)))
11306474c47fSYinan Xu  XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U))
1131e986c5deSXuan Hu  XSPerfAccumulate("walkCycleTotal", state === s_walk)
1132e986c5deSXuan Hu  XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd)
1133e986c5deSXuan Hu  private val walkCycle = RegInit(0.U(8.W))
1134e986c5deSXuan Hu  private val waitRabWalkCycle = RegInit(0.U(8.W))
1135e986c5deSXuan Hu  walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1136e986c5deSXuan Hu  waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1137e986c5deSXuan Hu
1138e986c5deSXuan Hu  XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32)
1139e986c5deSXuan Hu  XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32)
1140e986c5deSXuan Hu  XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32)
1141e986c5deSXuan Hu
1142780712aaSxiaofeibao-xjtu  private val deqNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isWritebacked
1143780712aaSxiaofeibao-xjtu  private val deqStdNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).stdWritebacked
1144780712aaSxiaofeibao-xjtu  private val deqUopNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isUopWritebacked
1145af4bdb08SXuan Hu  private val deqHeadInfo = debug_microOp(deqPtr.value)
11464b69927cSxiao feibao  val deqUopCommitType = debug_microOp(deqPtr.value).commitType
1147239413e5SXuan Hu
1148af4bdb08SXuan Hu  XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U)
1149af4bdb08SXuan Hu  XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U)
1150af4bdb08SXuan Hu  XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U)
1151af4bdb08SXuan Hu  XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U)
1152af4bdb08SXuan Hu  XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U)
1153af4bdb08SXuan Hu  XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U)
1154af4bdb08SXuan Hu  XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U)
1155af4bdb08SXuan Hu  XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U)
1156af4bdb08SXuan Hu  XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U)
1157af4bdb08SXuan Hu  XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1158af4bdb08SXuan Hu  XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1159af4bdb08SXuan Hu  XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1160af4bdb08SXuan Hu  XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U)
1161af4bdb08SXuan Hu
1162d280e426Slewislzh  XSPerfAccumulate("waitVfaluCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfalu.U)
1163d280e426Slewislzh  XSPerfAccumulate("waitVfmaCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfma.U)
1164d280e426Slewislzh  XSPerfAccumulate("waitVfdivCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfdiv.U)
1165d280e426Slewislzh
1166d280e426Slewislzh  val vfalufuop = Seq(VfaluType.vfadd, VfaluType.vfwadd, VfaluType.vfwadd_w, VfaluType.vfsub, VfaluType.vfwsub, VfaluType.vfwsub_w, VfaluType.vfmin, VfaluType.vfmax,
1167d280e426Slewislzh    VfaluType.vfmerge, VfaluType.vfmv, VfaluType.vfsgnj, VfaluType.vfsgnjn, VfaluType.vfsgnjx, VfaluType.vfeq, VfaluType.vfne, VfaluType.vflt, VfaluType.vfle, VfaluType.vfgt,
1168d280e426Slewislzh    VfaluType.vfge, VfaluType.vfclass, VfaluType.vfmv_f_s, VfaluType.vfmv_s_f, VfaluType.vfredusum, VfaluType.vfredmax, VfaluType.vfredmin, VfaluType.vfredosum, VfaluType.vfwredosum)
1169d280e426Slewislzh
1170d280e426Slewislzh  vfalufuop.zipWithIndex.map{
1171d280e426Slewislzh    case(fuoptype,i) =>  XSPerfAccumulate(s"waitVfalu_${i}Cycle", deqStdNotWritebacked && deqHeadInfo.fuOpType === fuoptype && deqHeadInfo.fuType === FuType.vfalu.U)
1172d280e426Slewislzh  }
1173d280e426Slewislzh
1174d280e426Slewislzh
1175d280e426Slewislzh
11769aca92b9SYinan Xu  XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL)
11779aca92b9SYinan Xu  XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH)
11789aca92b9SYinan Xu  XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD)
11799aca92b9SYinan Xu  XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE)
1180780712aaSxiaofeibao-xjtu  XSPerfAccumulate("robHeadPC", io.commits.info(0).debug_pc.getOrElse(0.U))
118189cc69c1STang Haojin  XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U }))
118289cc69c1STang Haojin  (2 to RenameWidth).foreach(i =>
118389cc69c1STang Haojin    XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize === i.U }))
118489cc69c1STang Haojin  )
118589cc69c1STang Haojin  XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _))
11869aca92b9SYinan Xu  val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime)
11879aca92b9SYinan Xu  val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime)
11889aca92b9SYinan Xu  val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime)
11899aca92b9SYinan Xu  val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime)
11909aca92b9SYinan Xu  val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime)
11919aca92b9SYinan Xu  val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime)
11929aca92b9SYinan Xu  val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime)
1193780712aaSxiaofeibao-xjtu
11949aca92b9SYinan Xu  def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = {
11959aca92b9SYinan Xu    cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _)
11969aca92b9SYinan Xu  }
1197780712aaSxiaofeibao-xjtu
11989aca92b9SYinan Xu  for (fuType <- FuType.functionNameMap.keys) {
11999aca92b9SYinan Xu    val fuName = FuType.functionNameMap(fuType)
12003b739f49SXuan Hu    val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U)
1201839e5512SZifei Zhang    XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset)
12029aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType)))
12039aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency)))
12049aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency)))
12059aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency)))
12069aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency)))
12079aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency)))
12089aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency)))
12099aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency)))
12109aca92b9SYinan Xu  }
12116087ee12SXuan Hu  XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt)
12129aca92b9SYinan Xu
121360ebee38STang Haojin  // top-down info
121460ebee38STang Haojin  io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid
121560ebee38STang Haojin  io.debugTopDown.toCore.robHeadVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits
121660ebee38STang Haojin  io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid
121760ebee38STang Haojin  io.debugTopDown.toCore.robHeadPaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits
121860ebee38STang Haojin  io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt)
121960ebee38STang Haojin  io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value)
122060ebee38STang Haojin  io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value)
122160ebee38STang Haojin  io.debugTopDown.robHeadLqIdx.bits := debug_microOp(deqPtr.value).lqIdx
12226ed1154eSTang Haojin
12237cf78eb2Shappy-lx  // rolling
12247cf78eb2Shappy-lx  io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt)
12258744445eSMaxpicca-Li
12268744445eSMaxpicca-Li  /**
12278744445eSMaxpicca-Li   * DataBase info:
12288744445eSMaxpicca-Li   * log trigger is at writeback valid
12298744445eSMaxpicca-Li   * */
12308744445eSMaxpicca-Li
1231870f462dSXuan Hu  /**
1232870f462dSXuan Hu   * @todo add InstInfoEntry back
1233870f462dSXuan Hu   * @author Maxpicca-Li
1234870f462dSXuan Hu   */
12358744445eSMaxpicca-Li
12369aca92b9SYinan Xu  //difftest signals
1237f3034303SHaoyuan Feng  val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value
12389aca92b9SYinan Xu
12399aca92b9SYinan Xu  val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W)))
12409aca92b9SYinan Xu  val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1241cbe9a847SYinan Xu
12429aca92b9SYinan Xu  for (i <- 0 until CommitWidth) {
12439aca92b9SYinan Xu    val idx = deqPtrVec(i).value
12449aca92b9SYinan Xu    wdata(i) := debug_exuData(idx)
12453b739f49SXuan Hu    wpc(i) := SignExt(commitDebugUop(i).pc, XLEN)
12469aca92b9SYinan Xu  }
12479aca92b9SYinan Xu
12487d45a146SYinan Xu  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1249cbe9a847SYinan Xu    // These are the structures used by difftest only and should be optimized after synthesis.
1250cbe9a847SYinan Xu    val dt_eliminatedMove = Mem(RobSize, Bool())
1251cbe9a847SYinan Xu    val dt_isRVC = Mem(RobSize, Bool())
1252cbe9a847SYinan Xu    val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle))
1253cbe9a847SYinan Xu    for (i <- 0 until RenameWidth) {
1254cbe9a847SYinan Xu      when(canEnqueue(i)) {
12556474c47fSYinan Xu        dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove
12563b739f49SXuan Hu        dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC
1257cbe9a847SYinan Xu      }
1258cbe9a847SYinan Xu    }
12593b739f49SXuan Hu    for (wb <- exuWBs) {
12606ab6918fSYinan Xu      when(wb.valid) {
12613b739f49SXuan Hu        val wbIdx = wb.bits.robIdx.value
12626ab6918fSYinan Xu        dt_exuDebug(wbIdx) := wb.bits.debug
1263cbe9a847SYinan Xu      }
1264cbe9a847SYinan Xu    }
1265cbe9a847SYinan Xu    // Always instantiate basic difftest modules.
1266cbe9a847SYinan Xu    for (i <- 0 until CommitWidth) {
1267f1ba628bSHaojin Tang      val uop = commitDebugUop(i)
1268cbe9a847SYinan Xu      val commitInfo = io.commits.info(i)
1269cbe9a847SYinan Xu      val ptr = deqPtrVec(i).value
1270cbe9a847SYinan Xu      val exuOut = dt_exuDebug(ptr)
1271cbe9a847SYinan Xu      val eliminatedMove = dt_eliminatedMove(ptr)
1272cbe9a847SYinan Xu      val isRVC = dt_isRVC(ptr)
1273cbe9a847SYinan Xu
127483ba63b3SXuan Hu      val difftest = DifftestModule(new DiffInstrCommit(MaxPhyPregs), delay = 3, dontCare = true)
1275202ef6b0SKunlin You      val dt_skip = Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt)
12767d45a146SYinan Xu      difftest.coreid := io.hartId
12777d45a146SYinan Xu      difftest.index := i.U
12787d45a146SYinan Xu      difftest.valid := io.commits.commitValid(i) && io.commits.isCommit
1279202ef6b0SKunlin You      difftest.skip := dt_skip
12807d45a146SYinan Xu      difftest.isRVC := isRVC
1281780712aaSxiaofeibao-xjtu      difftest.rfwen := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.debug_ldest.get =/= 0.U
12824b0d80d8SXuan Hu      difftest.fpwen := io.commits.commitValid(i) && uop.fpWen
1283780712aaSxiaofeibao-xjtu      difftest.wpdest := commitInfo.debug_pdest.get
1284780712aaSxiaofeibao-xjtu      difftest.wdest := commitInfo.debug_ldest.get
12856ce10964SXuan Hu      difftest.nFused := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U
12866ce10964SXuan Hu      when(difftest.valid) {
12876ce10964SXuan Hu        assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U)
12886ce10964SXuan Hu      }
12897d45a146SYinan Xu      if (env.EnableDifftest) {
12907d45a146SYinan Xu        val uop = commitDebugUop(i)
129183ba63b3SXuan Hu        difftest.pc := SignExt(uop.pc, XLEN)
129283ba63b3SXuan Hu        difftest.instr := uop.instr
12937d45a146SYinan Xu        difftest.robIdx := ZeroExt(ptr, 10)
12947d45a146SYinan Xu        difftest.lqIdx := ZeroExt(uop.lqIdx.value, 7)
12957d45a146SYinan Xu        difftest.sqIdx := ZeroExt(uop.sqIdx.value, 7)
12967d45a146SYinan Xu        difftest.isLoad := io.commits.info(i).commitType === CommitType.LOAD
12977d45a146SYinan Xu        difftest.isStore := io.commits.info(i).commitType === CommitType.STORE
1298202ef6b0SKunlin You        // Check LoadEvent only when isAmo or isLoad and skip MMIO
1299202ef6b0SKunlin You        val difftestLoadEvent = DifftestModule(new DiffLoadEvent, delay = 3)
1300202ef6b0SKunlin You        difftestLoadEvent.coreid := io.hartId
1301202ef6b0SKunlin You        difftestLoadEvent.index := i.U
1302202ef6b0SKunlin You        val loadCheck = (FuType.isAMO(uop.fuType) || FuType.isLoad(uop.fuType)) && !dt_skip
1303202ef6b0SKunlin You        difftestLoadEvent.valid    := io.commits.commitValid(i) && io.commits.isCommit && loadCheck
1304202ef6b0SKunlin You        difftestLoadEvent.paddr    := exuOut.paddr
1305202ef6b0SKunlin You        difftestLoadEvent.opType   := uop.fuOpType
1306202ef6b0SKunlin You        difftestLoadEvent.isAtomic := FuType.isAMO(uop.fuType)
1307202ef6b0SKunlin You        difftestLoadEvent.isLoad   := FuType.isLoad(uop.fuType)
13087d45a146SYinan Xu      }
1309cbe9a847SYinan Xu    }
1310cbe9a847SYinan Xu  }
13119aca92b9SYinan Xu
13127d45a146SYinan Xu  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1313cbe9a847SYinan Xu    val dt_isXSTrap = Mem(RobSize, Bool())
1314cbe9a847SYinan Xu    for (i <- 0 until RenameWidth) {
1315cbe9a847SYinan Xu      when(canEnqueue(i)) {
13163b739f49SXuan Hu        dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap
1317cbe9a847SYinan Xu      }
1318cbe9a847SYinan Xu    }
13197d45a146SYinan Xu    val trapVec = io.commits.commitValid.zip(deqPtrVec).map { case (v, d) =>
13207d45a146SYinan Xu      io.commits.isCommit && v && dt_isXSTrap(d.value)
13217d45a146SYinan Xu    }
1322cbe9a847SYinan Xu    val hitTrap = trapVec.reduce(_ || _)
13237d45a146SYinan Xu    val difftest = DifftestModule(new DiffTrapEvent, dontCare = true)
13247d45a146SYinan Xu    difftest.coreid := io.hartId
13257d45a146SYinan Xu    difftest.hasTrap := hitTrap
13267d45a146SYinan Xu    difftest.cycleCnt := timer
13277d45a146SYinan Xu    difftest.instrCnt := instrCnt
13287d45a146SYinan Xu    difftest.hasWFI := hasWFI
13297d45a146SYinan Xu
13307d45a146SYinan Xu    if (env.EnableDifftest) {
1331cbe9a847SYinan Xu      val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1))
1332cbe9a847SYinan Xu      val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 -> x._1)), XLEN)
13337d45a146SYinan Xu      difftest.code := trapCode
13347d45a146SYinan Xu      difftest.pc := trapPC
13359aca92b9SYinan Xu    }
1336cbe9a847SYinan Xu  }
13371545277aSYinan Xu
1338780712aaSxiaofeibao-xjtu  val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(robEntries.map(_.valid).drop(i * 32).take(32))))
1339dcf3a679STang Haojin  val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _))
134043bdc4d9SYinan Xu  val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m })
134143bdc4d9SYinan Xu  val commitLoadVec = VecInit(commitLoadValid)
134243bdc4d9SYinan Xu  val commitBranchVec = VecInit(commitBranchValid)
134343bdc4d9SYinan Xu  val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w })
134443bdc4d9SYinan Xu  val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t })
1345cd365d4cSrvcoresjw  val perfEvents = Seq(
1346cd365d4cSrvcoresjw    ("rob_interrupt_num      ", io.flushOut.valid && intrEnable),
1347571677c9Sxiaofeibao-xjtu    ("rob_exception_num      ", io.flushOut.valid && deqHasException),
1348cd365d4cSrvcoresjw    ("rob_flush_pipe_num     ", io.flushOut.valid && isFlushPipe),
1349cd365d4cSrvcoresjw    ("rob_replay_inst_num    ", io.flushOut.valid && isFlushPipe && deqHasReplayInst),
1350cd365d4cSrvcoresjw    ("rob_commitUop          ", ifCommit(commitCnt)),
13517e8294acSYinan Xu    ("rob_commitInstr        ", ifCommitReg(trueCommitCnt)),
135243bdc4d9SYinan Xu    ("rob_commitInstrMove    ", ifCommitReg(PopCount(RegNext(commitMoveVec)))),
13537e8294acSYinan Xu    ("rob_commitInstrFused   ", ifCommitReg(fuseCommitCnt)),
135443bdc4d9SYinan Xu    ("rob_commitInstrLoad    ", ifCommitReg(PopCount(RegNext(commitLoadVec)))),
135543bdc4d9SYinan Xu    ("rob_commitInstrBranch  ", ifCommitReg(PopCount(RegNext(commitBranchVec)))),
135643bdc4d9SYinan Xu    ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec)))),
135743bdc4d9SYinan Xu    ("rob_commitInstrStore   ", ifCommitReg(PopCount(RegNext(commitStoreVec)))),
13586474c47fSYinan Xu    ("rob_walkInstr          ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)),
1359ccfddc82SHaojin Tang    ("rob_walkCycle          ", (state === s_walk)),
13607e8294acSYinan Xu    ("rob_1_4_valid          ", validEntries <= (RobSize / 4).U),
13617e8294acSYinan Xu    ("rob_2_4_valid          ", validEntries > (RobSize / 4).U && validEntries <= (RobSize / 2).U),
13627e8294acSYinan Xu    ("rob_3_4_valid          ", validEntries > (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U),
13637e8294acSYinan Xu    ("rob_4_4_valid          ", validEntries > (RobSize * 3 / 4).U),
1364cd365d4cSrvcoresjw  )
13651ca0e4f3SYinan Xu  generatePerfEvent()
1366780712aaSxiaofeibao-xjtu
1367780712aaSxiaofeibao-xjtu  // dontTouch for debug
1368780712aaSxiaofeibao-xjtu  if (backendParams.debugEn) {
1369780712aaSxiaofeibao-xjtu    dontTouch(enqPtrVec)
1370780712aaSxiaofeibao-xjtu    dontTouch(deqPtrVec)
1371780712aaSxiaofeibao-xjtu    dontTouch(robEntries)
1372780712aaSxiaofeibao-xjtu    dontTouch(robDeqGroup)
1373780712aaSxiaofeibao-xjtu    dontTouch(robBanks)
1374780712aaSxiaofeibao-xjtu    dontTouch(robBanksRaddrThisLine)
1375780712aaSxiaofeibao-xjtu    dontTouch(robBanksRaddrNextLine)
1376780712aaSxiaofeibao-xjtu    dontTouch(robBanksRdataThisLine)
1377780712aaSxiaofeibao-xjtu    dontTouch(robBanksRdataNextLine)
1378780712aaSxiaofeibao-xjtu    dontTouch(robBanksRdataThisLineUpdate)
1379780712aaSxiaofeibao-xjtu    dontTouch(robBanksRdataNextLineUpdate)
1380571677c9Sxiaofeibao-xjtu    dontTouch(needUpdate)
1381571677c9Sxiaofeibao-xjtu    val exceptionWBsVec = MixedVecInit(exceptionWBs)
1382571677c9Sxiaofeibao-xjtu    dontTouch(exceptionWBsVec)
1383780712aaSxiaofeibao-xjtu    dontTouch(commit_wDeqGroup)
1384780712aaSxiaofeibao-xjtu    dontTouch(commit_vDeqGroup)
1385780712aaSxiaofeibao-xjtu    dontTouch(commitSizeSumSeq)
1386780712aaSxiaofeibao-xjtu    dontTouch(walkSizeSumSeq)
1387780712aaSxiaofeibao-xjtu    dontTouch(commitSizeSumCond)
1388780712aaSxiaofeibao-xjtu    dontTouch(walkSizeSumCond)
1389780712aaSxiaofeibao-xjtu    dontTouch(commitSizeSum)
1390780712aaSxiaofeibao-xjtu    dontTouch(walkSizeSum)
1391780712aaSxiaofeibao-xjtu    dontTouch(realDestSizeSeq)
1392780712aaSxiaofeibao-xjtu    dontTouch(walkDestSizeSeq)
1393780712aaSxiaofeibao-xjtu    dontTouch(io.commits)
1394780712aaSxiaofeibao-xjtu    dontTouch(commitIsVTypeVec)
1395780712aaSxiaofeibao-xjtu    dontTouch(walkIsVTypeVec)
1396780712aaSxiaofeibao-xjtu    dontTouch(commitValidThisLine)
1397780712aaSxiaofeibao-xjtu    dontTouch(commitReadAddr_next)
1398780712aaSxiaofeibao-xjtu    dontTouch(donotNeedWalk)
1399780712aaSxiaofeibao-xjtu    dontTouch(walkPtrVec_next)
1400780712aaSxiaofeibao-xjtu    dontTouch(walkPtrVec)
1401780712aaSxiaofeibao-xjtu    dontTouch(deqPtrVec_next)
1402780712aaSxiaofeibao-xjtu    dontTouch(deqPtrVecForWalk)
1403780712aaSxiaofeibao-xjtu    dontTouch(snapPtrReadBank)
1404780712aaSxiaofeibao-xjtu    dontTouch(snapPtrVecForWalk)
1405780712aaSxiaofeibao-xjtu    dontTouch(shouldWalkVec)
1406780712aaSxiaofeibao-xjtu    dontTouch(walkFinished)
1407780712aaSxiaofeibao-xjtu    dontTouch(changeBankAddrToDeqPtr)
1408780712aaSxiaofeibao-xjtu  }
1409780712aaSxiaofeibao-xjtu  if (env.EnableDifftest) {
1410780712aaSxiaofeibao-xjtu    io.commits.info.map(info => dontTouch(info.debug_pc.get))
1411780712aaSxiaofeibao-xjtu  }
14129aca92b9SYinan Xu}
1413