xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision f7063a43ab34da917ba6c670d21871314340c550)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util.BitPat.bitPatToUInt
22import chisel3.util._
23import utility._
24import utils._
25import xiangshan.backend.decode.{ImmUnion, XDecode}
26import xiangshan.backend.fu.FuType
27import xiangshan.backend.rob.RobPtr
28import xiangshan.frontend._
29import xiangshan.mem.{LqPtr, SqPtr}
30import xiangshan.backend.Bundles.{DynInst, UopIdx}
31import xiangshan.backend.fu.vector.Bundles.VType
32import xiangshan.frontend.{AllAheadFoldedHistoryOldestBits, AllFoldedHistories, BPUCtrl, CGHPtr, FtqPtr, FtqToCtrlIO}
33import xiangshan.frontend.{Ftq_Redirect_SRAMEntry, HasBPUParameter, IfuToBackendIO, PreDecodeInfo, RASPtr}
34import xiangshan.cache.HasDCacheParameters
35import utility._
36
37import org.chipsalliance.cde.config.Parameters
38import chisel3.util.BitPat.bitPatToUInt
39import chisel3.util.experimental.decode.EspressoMinimizer
40import xiangshan.backend.CtrlToFtqIO
41import xiangshan.backend.fu.PMPEntry
42import xiangshan.frontend.Ftq_Redirect_SRAMEntry
43import xiangshan.frontend.AllFoldedHistories
44import xiangshan.frontend.AllAheadFoldedHistoryOldestBits
45import xiangshan.frontend.RASPtr
46import xiangshan.backend.rob.RobBundles.RobCommitEntryBundle
47
48class ValidUndirectioned[T <: Data](gen: T) extends Bundle {
49  val valid = Bool()
50  val bits = gen.cloneType.asInstanceOf[T]
51
52}
53
54object ValidUndirectioned {
55  def apply[T <: Data](gen: T) = {
56    new ValidUndirectioned[T](gen)
57  }
58}
59
60object RSFeedbackType {
61  val lrqFull         = 0.U(4.W)
62  val tlbMiss         = 1.U(4.W)
63  val mshrFull        = 2.U(4.W)
64  val dataInvalid     = 3.U(4.W)
65  val bankConflict    = 4.U(4.W)
66  val ldVioCheckRedo  = 5.U(4.W)
67  val feedbackInvalid = 7.U(4.W)
68  val issueSuccess    = 8.U(4.W)
69  val rfArbitFail     = 9.U(4.W)
70  val fuIdle          = 10.U(4.W)
71  val fuBusy          = 11.U(4.W)
72  val fuUncertain     = 12.U(4.W)
73
74  val allTypes = 16
75  def apply() = UInt(4.W)
76
77  def isStageSuccess(feedbackType: UInt) = {
78    feedbackType === issueSuccess
79  }
80
81  def isBlocked(feedbackType: UInt) = {
82    feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType >= lrqFull && feedbackType <= feedbackInvalid
83  }
84}
85
86class PredictorAnswer(implicit p: Parameters) extends XSBundle {
87  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
88  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
89  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
90}
91
92class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
93  // from backend
94  val pc = UInt(VAddrBits.W)
95  // frontend -> backend -> frontend
96  val pd = new PreDecodeInfo
97  val ssp = UInt(log2Up(RasSize).W)
98  val sctr = UInt(log2Up(RasCtrSize).W)
99  val TOSW = new RASPtr
100  val TOSR = new RASPtr
101  val NOS = new RASPtr
102  val topAddr = UInt(VAddrBits.W)
103  // val hist = new ShiftingGlobalHistory
104  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
105  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
106  val lastBrNumOH = UInt((numBr+1).W)
107  val ghr = UInt(UbtbGHRLength.W)
108  val histPtr = new CGHPtr
109  val specCnt = Vec(numBr, UInt(10.W))
110  // need pipeline update
111  val br_hit = Bool() // if in ftb entry
112  val jr_hit = Bool() // if in ftb entry
113  val sc_hit = Bool() // if used in ftb entry, invalid if !br_hit
114  val predTaken = Bool()
115  val target = UInt(VAddrBits.W)
116  val taken = Bool()
117  val isMisPred = Bool()
118  val shift = UInt((log2Ceil(numBr)+1).W)
119  val addIntoHist = Bool()
120
121  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
122    // this.hist := entry.ghist
123    this.folded_hist := entry.folded_hist
124    this.lastBrNumOH := entry.lastBrNumOH
125    this.afhob := entry.afhob
126    this.histPtr := entry.histPtr
127    this.ssp := entry.ssp
128    this.sctr := entry.sctr
129    this.TOSW := entry.TOSW
130    this.TOSR := entry.TOSR
131    this.NOS := entry.NOS
132    this.topAddr := entry.topAddr
133    this
134  }
135}
136
137// Dequeue DecodeWidth insts from Ibuffer
138class CtrlFlow(implicit p: Parameters) extends XSBundle {
139  val instr = UInt(32.W)
140  val pc = UInt(VAddrBits.W)
141  // Todo: remove this
142  val gpaddr = UInt(GPAddrBits.W)
143  val foldpc = UInt(MemPredPCWidth.W)
144  val exceptionVec = ExceptionVec()
145  val trigger = new TriggerCf
146  val pd = new PreDecodeInfo
147  val pred_taken = Bool()
148  val crossPageIPFFix = Bool()
149  val storeSetHit = Bool() // inst has been allocated an store set
150  val waitForRobIdx = new RobPtr // store set predicted previous store robIdx
151  // Load wait is needed
152  // load inst will not be executed until former store (predicted by mdp) addr calcuated
153  val loadWaitBit = Bool()
154  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
155  // load inst will not be executed until ALL former store addr calcuated
156  val loadWaitStrict = Bool()
157  val ssid = UInt(SSIDWidth.W)
158  val ftqPtr = new FtqPtr
159  val ftqOffset = UInt(log2Up(PredictWidth).W)
160}
161
162
163class FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
164  val isAddSub = Bool() // swap23
165  val typeTagIn = UInt(1.W)
166  val typeTagOut = UInt(1.W)
167  val fromInt = Bool()
168  val wflags = Bool()
169  val fpWen = Bool()
170  val fmaCmd = UInt(2.W)
171  val div = Bool()
172  val sqrt = Bool()
173  val fcvt = Bool()
174  val typ = UInt(2.W)
175  val fmt = UInt(2.W)
176  val ren3 = Bool() //TODO: remove SrcType.fp
177  val rm = UInt(3.W)
178}
179
180// Decode DecodeWidth insts at Decode Stage
181class CtrlSignals(implicit p: Parameters) extends XSBundle {
182  val debug_globalID = UInt(XLEN.W)
183  val srcType = Vec(4, SrcType())
184  val lsrc = Vec(4, UInt(6.W))
185  val ldest = UInt(6.W)
186  val fuType = FuType()
187  val fuOpType = FuOpType()
188  val rfWen = Bool()
189  val fpWen = Bool()
190  val vecWen = Bool()
191  val isXSTrap = Bool()
192  val noSpecExec = Bool() // wait forward
193  val blockBackward = Bool() // block backward
194  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
195  val uopSplitType = UopSplitType()
196  val selImm = SelImm()
197  val imm = UInt(32.W)
198  val commitType = CommitType()
199  val fpu = new FPUCtrlSignals
200  val uopIdx = UopIdx()
201  val isMove = Bool()
202  val vm = Bool()
203  val singleStep = Bool()
204  // This inst will flush all the pipe when it is the oldest inst in ROB,
205  // then replay from this inst itself
206  val replayInst = Bool()
207  val canRobCompress = Bool()
208
209  private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen,
210    isXSTrap, noSpecExec, blockBackward, flushPipe, canRobCompress, uopSplitType, selImm)
211
212  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
213    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table, EspressoMinimizer)
214    allSignals zip decoder foreach { case (s, d) => s := d }
215    commitType := DontCare
216    this
217  }
218
219  def decode(bit: List[BitPat]): CtrlSignals = {
220    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
221    this
222  }
223
224  def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi
225  def isSoftPrefetch: Bool = {
226    fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U
227  }
228  def needWriteRf: Bool = (rfWen && ldest =/= 0.U) || fpWen || vecWen
229  def isHyperInst: Bool = {
230    fuType === FuType.ldu.U && LSUOpType.isHlv(fuOpType) || fuType === FuType.stu.U && LSUOpType.isHsv(fuOpType)
231  }
232}
233
234class CfCtrl(implicit p: Parameters) extends XSBundle {
235  val cf = new CtrlFlow
236  val ctrl = new CtrlSignals
237}
238
239class PerfDebugInfo(implicit p: Parameters) extends XSBundle {
240  val eliminatedMove = Bool()
241  // val fetchTime = UInt(XLEN.W)
242  val renameTime = UInt(XLEN.W)
243  val dispatchTime = UInt(XLEN.W)
244  val enqRsTime = UInt(XLEN.W)
245  val selectTime = UInt(XLEN.W)
246  val issueTime = UInt(XLEN.W)
247  val writebackTime = UInt(XLEN.W)
248  // val commitTime = UInt(XLEN.W)
249  val runahead_checkpoint_id = UInt(XLEN.W)
250  val tlbFirstReqTime = UInt(XLEN.W)
251  val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit)
252}
253
254// Separate LSQ
255class LSIdx(implicit p: Parameters) extends XSBundle {
256  val lqIdx = new LqPtr
257  val sqIdx = new SqPtr
258}
259
260// CfCtrl -> MicroOp at Rename Stage
261class MicroOp(implicit p: Parameters) extends CfCtrl {
262  val srcState = Vec(4, SrcState())
263  val psrc = Vec(4, UInt(PhyRegIdxWidth.W))
264  val pdest = UInt(PhyRegIdxWidth.W)
265  val robIdx = new RobPtr
266  val instrSize = UInt(log2Ceil(RenameWidth + 1).W)
267  val lqIdx = new LqPtr
268  val sqIdx = new SqPtr
269  val eliminatedMove = Bool()
270  val snapshot = Bool()
271  val debugInfo = new PerfDebugInfo
272  def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = {
273    val stateReady = srcState(index) === SrcState.rdy || ignoreState.B
274    val readReg = if (isFp) {
275      ctrl.srcType(index) === SrcType.fp
276    } else {
277      ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U
278    }
279    readReg && stateReady
280  }
281  def srcIsReady: Vec[Bool] = {
282    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
283  }
284  def clearExceptions(
285    exceptionBits: Seq[Int] = Seq(),
286    flushPipe: Boolean = false,
287    replayInst: Boolean = false
288  ): MicroOp = {
289    cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B)
290    if (!flushPipe) { ctrl.flushPipe := false.B }
291    if (!replayInst) { ctrl.replayInst := false.B }
292    this
293  }
294}
295
296class XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle {
297  val uop = new DynInst
298}
299
300class MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp {
301  val flag = UInt(1.W)
302}
303
304class Redirect(implicit p: Parameters) extends XSBundle {
305  val isRVC = Bool()
306  val robIdx = new RobPtr
307  val ftqIdx = new FtqPtr
308  val ftqOffset = UInt(log2Up(PredictWidth).W)
309  val level = RedirectLevel()
310  val interrupt = Bool()
311  val cfiUpdate = new CfiUpdateInfo
312
313  val stFtqIdx = new FtqPtr // for load violation predict
314  val stFtqOffset = UInt(log2Up(PredictWidth).W)
315
316  val debug_runahead_checkpoint_id = UInt(64.W)
317  val debugIsCtrl = Bool()
318  val debugIsMemVio = Bool()
319
320  def flushItself() = RedirectLevel.flushItself(level)
321}
322
323class ResetPregStateReq(implicit p: Parameters) extends XSBundle {
324  // NOTE: set isInt and isFp both to 'false' when invalid
325  val isInt = Bool()
326  val isFp = Bool()
327  val preg = UInt(PhyRegIdxWidth.W)
328}
329
330class DebugBundle(implicit p: Parameters) extends XSBundle {
331  val isMMIO = Bool()
332  val isPerfCnt = Bool()
333  val paddr = UInt(PAddrBits.W)
334  val vaddr = UInt(VAddrBits.W)
335  /* add L/S inst info in EXU */
336  // val L1toL2TlbLatency = UInt(XLEN.W)
337  // val levelTlbHit = UInt(2.W)
338}
339
340class ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
341  val mtip = Input(Bool())
342  val msip = Input(Bool())
343  val meip = Input(Bool())
344  val seip = Input(Bool())
345  val debug = Input(Bool())
346}
347
348class CSRSpecialIO(implicit p: Parameters) extends XSBundle {
349  val exception = Flipped(ValidIO(new DynInst))
350  val isInterrupt = Input(Bool())
351  val memExceptionVAddr = Input(UInt(VAddrBits.W))
352  val trapTarget = Output(UInt(VAddrBits.W))
353  val externalInterrupt = new ExternalInterruptIO
354  val interrupt = Output(Bool())
355}
356
357class DiffCommitIO(implicit p: Parameters) extends XSBundle {
358  val isCommit = Bool()
359  val commitValid = Vec(CommitWidth * MaxUopSize, Bool())
360
361  val info = Vec(CommitWidth * MaxUopSize, new RabCommitInfo)
362}
363
364class RobCommitInfo(implicit p: Parameters) extends RobCommitEntryBundle
365
366class RobCommitIO(implicit p: Parameters) extends XSBundle {
367  val isCommit = Bool()
368  val commitValid = Vec(CommitWidth, Bool())
369
370  val isWalk = Bool()
371  // valid bits optimized for walk
372  val walkValid = Vec(CommitWidth, Bool())
373
374  val info = Vec(CommitWidth, new RobCommitInfo)
375  val robIdx = Vec(CommitWidth, new RobPtr)
376
377  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
378  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
379}
380
381class RabCommitInfo(implicit p: Parameters) extends XSBundle {
382  val ldest = UInt(6.W)
383  val pdest = UInt(PhyRegIdxWidth.W)
384  val rfWen = Bool()
385  val fpWen = Bool()
386  val vecWen = Bool()
387  val isMove = Bool()
388}
389
390class RabCommitIO(implicit p: Parameters) extends XSBundle {
391  val isCommit = Bool()
392  val commitValid = Vec(RabCommitWidth, Bool())
393
394  val isWalk = Bool()
395  // valid bits optimized for walk
396  val walkValid = Vec(RabCommitWidth, Bool())
397
398  val info = Vec(RabCommitWidth, new RabCommitInfo)
399  val robIdx = OptionWrapper(!env.FPGAPlatform, Vec(RabCommitWidth, new RobPtr))
400
401  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
402  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
403}
404
405class SnapshotPort(implicit p: Parameters) extends XSBundle {
406  val snptEnq = Bool()
407  val snptDeq = Bool()
408  val useSnpt = Bool()
409  val snptSelect = UInt(log2Ceil(RenameSnapshotNum).W)
410  val flushVec = Vec(RenameSnapshotNum, Bool())
411}
412
413class RSFeedback(implicit p: Parameters) extends XSBundle {
414  val robIdx = new RobPtr
415  val hit = Bool()
416  val flushState = Bool()
417  val sourceType = RSFeedbackType()
418  val dataInvalidSqIdx = new SqPtr
419}
420
421class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle {
422  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
423  // for instance: MemRSFeedbackIO()(updateP)
424  val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss
425  val feedbackFast = ValidIO(new RSFeedback()) // bank conflict
426}
427
428class LoadCancelIO(implicit p: Parameters) extends XSBundle {
429  val ld1Cancel = Bool()
430  val ld2Cancel = Bool()
431}
432
433class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
434  // to backend end
435  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
436  val stallReason = new StallReasonIO(DecodeWidth)
437  val fromFtq = new FtqToCtrlIO
438  val fromIfu = new IfuToBackendIO
439  // from backend
440  val toFtq = Flipped(new CtrlToFtqIO)
441  val canAccept = Input(Bool())
442}
443
444class SatpStruct(implicit p: Parameters) extends XSBundle {
445  val mode = UInt(4.W)
446  val asid = UInt(16.W)
447  val ppn  = UInt(44.W)
448}
449
450class TlbSatpBundle(implicit p: Parameters) extends SatpStruct {
451  val changed = Bool()
452
453  def apply(satp_value: UInt): Unit = {
454    require(satp_value.getWidth == XLEN)
455    val sa = satp_value.asTypeOf(new SatpStruct)
456    mode := sa.mode
457    asid := sa.asid
458    ppn := Cat(0.U((44-PAddrBits).W), sa.ppn(PAddrBits-1, 0)).asUInt
459    changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
460  }
461}
462
463class TlbCsrBundle(implicit p: Parameters) extends XSBundle {
464  val satp = new TlbSatpBundle()
465  val vsatp = new TlbSatpBundle()
466  val hgatp = new TlbSatpBundle()
467  val priv = new Bundle {
468    val mxr = Bool()
469    val sum = Bool()
470    val vmxr = Bool()
471    val vsum = Bool()
472    val virt = Bool()
473    val spvp = UInt(1.W)
474    val imode = UInt(2.W)
475    val dmode = UInt(2.W)
476  }
477
478  override def toPrintable: Printable = {
479    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
480      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
481  }
482}
483
484class SfenceBundle(implicit p: Parameters) extends XSBundle {
485  val valid = Bool()
486  val bits = new Bundle {
487    val rs1 = Bool()
488    val rs2 = Bool()
489    val addr = UInt(VAddrBits.W)
490    val id = UInt((AsidLength).W) // asid or vmid
491    val flushPipe = Bool()
492    val hv = Bool()
493    val hg = Bool()
494  }
495
496  override def toPrintable: Printable = {
497    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}"
498  }
499}
500
501// Bundle for load violation predictor updating
502class MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
503  val valid = Bool()
504
505  // wait table update
506  val waddr = UInt(MemPredPCWidth.W)
507  val wdata = Bool() // true.B by default
508
509  // store set update
510  // by default, ldpc/stpc should be xor folded
511  val ldpc = UInt(MemPredPCWidth.W)
512  val stpc = UInt(MemPredPCWidth.W)
513}
514
515class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
516  // Prefetcher
517  val l1I_pf_enable = Output(Bool())
518  val l2_pf_enable = Output(Bool())
519  val l1D_pf_enable = Output(Bool())
520  val l1D_pf_train_on_hit = Output(Bool())
521  val l1D_pf_enable_agt = Output(Bool())
522  val l1D_pf_enable_pht = Output(Bool())
523  val l1D_pf_active_threshold = Output(UInt(4.W))
524  val l1D_pf_active_stride = Output(UInt(6.W))
525  val l1D_pf_enable_stride = Output(Bool())
526  val l2_pf_store_only = Output(Bool())
527  // ICache
528  val icache_parity_enable = Output(Bool())
529  // Labeled XiangShan
530  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
531  // Load violation predictor
532  val lvpred_disable = Output(Bool())
533  val no_spec_load = Output(Bool())
534  val storeset_wait_store = Output(Bool())
535  val storeset_no_fast_wakeup = Output(Bool())
536  val lvpred_timeout = Output(UInt(5.W))
537  // Branch predictor
538  val bp_ctrl = Output(new BPUCtrl)
539  // Memory Block
540  val sbuffer_threshold = Output(UInt(4.W))
541  val ldld_vio_check_enable = Output(Bool())
542  val soft_prefetch_enable = Output(Bool())
543  val cache_error_enable = Output(Bool())
544  val uncache_write_outstanding_enable = Output(Bool())
545  // Rename
546  val fusion_enable = Output(Bool())
547  val wfi_enable = Output(Bool())
548  // Decode
549  val svinval_enable = Output(Bool())
550
551  // distribute csr write signal
552  val distribute_csr = new DistributedCSRIO()
553  // TODO: move it to a new bundle, since single step is not a custom control signal
554  val singlestep = Output(Bool())
555  val frontend_trigger = new FrontendTdataDistributeIO()
556  val mem_trigger = new MemTdataDistributeIO()
557  // Virtualization Mode
558  val virtMode = Output(Bool())
559}
560
561class DistributedCSRIO(implicit p: Parameters) extends XSBundle {
562  // CSR has been written by csr inst, copies of csr should be updated
563  val w = ValidIO(new Bundle {
564    val addr = Output(UInt(12.W))
565    val data = Output(UInt(XLEN.W))
566  })
567}
568
569class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
570  // Request csr to be updated
571  //
572  // Note that this request will ONLY update CSR Module it self,
573  // copies of csr will NOT be updated, use it with care!
574  //
575  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
576  val w = ValidIO(new Bundle {
577    val addr = Output(UInt(12.W))
578    val data = Output(UInt(XLEN.W))
579  })
580  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
581    when(valid){
582      w.bits.addr := addr
583      w.bits.data := data
584    }
585    println("Distributed CSR update req registered for " + src_description)
586  }
587}
588
589class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle {
590  // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR
591  val source = Output(new Bundle() {
592    val tag = Bool() // l1 tag array
593    val data = Bool() // l1 data array
594    val l2 = Bool()
595  })
596  val opType = Output(new Bundle() {
597    val fetch = Bool()
598    val load = Bool()
599    val store = Bool()
600    val probe = Bool()
601    val release = Bool()
602    val atom = Bool()
603  })
604  val paddr = Output(UInt(PAddrBits.W))
605
606  // report error and paddr to beu
607  // bus error unit will receive error info iff ecc_error.valid
608  val report_to_beu = Output(Bool())
609
610  // there is an valid error
611  // l1 cache error will always be report to CACHE_ERROR csr
612  val valid = Output(Bool())
613
614  def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = {
615    val beu_info = Wire(new L1BusErrorUnitInfo)
616    beu_info.ecc_error.valid := report_to_beu
617    beu_info.ecc_error.bits := paddr
618    beu_info
619  }
620}
621
622class TriggerCf(implicit p: Parameters) extends XSBundle {
623  // frontend
624  val frontendHit       = Vec(TriggerNum, Bool()) // en && hit
625  val frontendCanFire   = Vec(TriggerNum, Bool())
626  // backend
627  val backendHit        = Vec(TriggerNum, Bool())
628  val backendCanFire    = Vec(TriggerNum, Bool())
629
630  // Two situations not allowed:
631  // 1. load data comparison
632  // 2. store chaining with store
633  def getFrontendCanFire = frontendCanFire.reduce(_ || _)
634  def getBackendCanFire = backendCanFire.reduce(_ || _)
635  def canFire = getFrontendCanFire || getBackendCanFire
636  def clear(): Unit = {
637    frontendHit.foreach(_ := false.B)
638    frontendCanFire.foreach(_ := false.B)
639    backendHit.foreach(_ := false.B)
640    backendCanFire.foreach(_ := false.B)
641  }
642}
643
644// these 3 bundles help distribute trigger control signals from CSR
645// to Frontend, Load and Store.
646class FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle {
647  val tUpdate = ValidIO(new Bundle {
648    val addr = Output(UInt(log2Up(TriggerNum).W))
649    val tdata = new MatchTriggerIO
650  })
651  val tEnableVec: Vec[Bool] = Output(Vec(TriggerNum, Bool()))
652}
653
654class MemTdataDistributeIO(implicit p: Parameters) extends XSBundle {
655  val tUpdate = ValidIO(new Bundle {
656    val addr = Output(UInt(log2Up(TriggerNum).W))
657    val tdata = new MatchTriggerIO
658  })
659  val tEnableVec: Vec[Bool] = Output(Vec(TriggerNum, Bool()))
660}
661
662class MatchTriggerIO(implicit p: Parameters) extends XSBundle {
663  val matchType = Output(UInt(2.W))
664  val select = Output(Bool())
665  val timing = Output(Bool())
666  val action = Output(Bool())
667  val chain = Output(Bool())
668  val execute = Output(Bool())
669  val store = Output(Bool())
670  val load = Output(Bool())
671  val tdata2 = Output(UInt(64.W))
672}
673
674class StallReasonIO(width: Int) extends Bundle {
675  val reason = Output(Vec(width, UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
676  val backReason = Flipped(Valid(UInt(log2Ceil(TopDownCounters.NumStallReasons.id).W)))
677}
678
679// custom l2 - l1 interface
680class L2ToL1Hint(implicit p: Parameters) extends XSBundle with HasDCacheParameters {
681  val sourceId = UInt(log2Up(cfg.nMissEntries).W)    // tilelink sourceID -> mshr id
682  val isKeyword = Bool()                             // miss entry keyword -> L1 load queue replay
683}
684
685