xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision dcbc69cb2a7ea07707ede3d8f7c74421ef450202)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan
18
19import chisel3._
20import chisel3.util._
21import xiangshan.backend.rob.RobPtr
22import xiangshan.backend.CtrlToFtqIO
23import xiangshan.backend.decode.{ImmUnion, XDecode}
24import xiangshan.mem.{LqPtr, SqPtr}
25import xiangshan.frontend.PreDecodeInfo
26import xiangshan.frontend.HasBPUParameter
27import xiangshan.frontend.{GlobalHistory, ShiftingGlobalHistory, CircularGlobalHistory, AllFoldedHistories}
28import xiangshan.frontend.RASEntry
29import xiangshan.frontend.BPUCtrl
30import xiangshan.frontend.FtqPtr
31import xiangshan.frontend.CGHPtr
32import xiangshan.frontend.FtqRead
33import xiangshan.frontend.FtqToCtrlIO
34import utils._
35
36import scala.math.max
37import Chisel.experimental.chiselName
38import chipsalliance.rocketchip.config.Parameters
39import chisel3.util.BitPat.bitPatToUInt
40import xiangshan.backend.fu.PMPEntry
41import xiangshan.frontend.Ftq_Redirect_SRAMEntry
42import xiangshan.frontend.AllFoldedHistories
43
44class ValidUndirectioned[T <: Data](gen: T) extends Bundle {
45  val valid = Bool()
46  val bits = gen.cloneType.asInstanceOf[T]
47
48  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
49}
50
51object ValidUndirectioned {
52  def apply[T <: Data](gen: T) = {
53    new ValidUndirectioned[T](gen)
54  }
55}
56
57object RSFeedbackType {
58  val tlbMiss = 0.U(3.W)
59  val mshrFull = 1.U(3.W)
60  val dataInvalid = 2.U(3.W)
61  val bankConflict = 3.U(3.W)
62  val ldVioCheckRedo = 4.U(3.W)
63
64  def apply() = UInt(3.W)
65}
66
67class PredictorAnswer(implicit p: Parameters) extends XSBundle {
68  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
69  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
70  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
71}
72
73class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
74  // from backend
75  val pc = UInt(VAddrBits.W)
76  // frontend -> backend -> frontend
77  val pd = new PreDecodeInfo
78  val rasSp = UInt(log2Up(RasSize).W)
79  val rasEntry = new RASEntry
80  // val hist = new ShiftingGlobalHistory
81  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
82  val histPtr = new CGHPtr
83  val phist = UInt(PathHistoryLength.W)
84  val specCnt = Vec(numBr, UInt(10.W))
85  val phNewBit = Bool()
86  // need pipeline update
87  val br_hit = Bool()
88  val predTaken = Bool()
89  val target = UInt(VAddrBits.W)
90  val taken = Bool()
91  val isMisPred = Bool()
92  val shift = UInt((log2Ceil(numBr)+1).W)
93  val addIntoHist = Bool()
94
95  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
96    // this.hist := entry.ghist
97    this.folded_hist := entry.folded_hist
98    this.histPtr := entry.histPtr
99    this.phist := entry.phist
100    this.phNewBit := entry.phNewBit
101    this.rasSp := entry.rasSp
102    this.rasEntry := entry.rasEntry
103    this.specCnt := entry.specCnt
104    this
105  }
106}
107
108// Dequeue DecodeWidth insts from Ibuffer
109class CtrlFlow(implicit p: Parameters) extends XSBundle {
110  val instr = UInt(32.W)
111  val pc = UInt(VAddrBits.W)
112  val foldpc = UInt(MemPredPCWidth.W)
113  val exceptionVec = ExceptionVec()
114  val trigger = new TriggerCf
115  val intrVec = Vec(12, Bool())
116  val pd = new PreDecodeInfo
117  val pred_taken = Bool()
118  val crossPageIPFFix = Bool()
119  val storeSetHit = Bool() // inst has been allocated an store set
120  val waitForRobIdx = new RobPtr // store set predicted previous store robIdx
121  // Load wait is needed
122  // load inst will not be executed until former store (predicted by mdp) addr calcuated
123  val loadWaitBit = Bool()
124  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
125  // load inst will not be executed until ALL former store addr calcuated
126  val loadWaitStrict = Bool()
127  val ssid = UInt(SSIDWidth.W)
128  val ftqPtr = new FtqPtr
129  val ftqOffset = UInt(log2Up(PredictWidth).W)
130  // This inst will flush all the pipe when it is the oldest inst in ROB,
131  // then replay from this inst itself
132  val replayInst = Bool()
133}
134
135
136class FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
137  val isAddSub = Bool() // swap23
138  val typeTagIn = UInt(1.W)
139  val typeTagOut = UInt(1.W)
140  val fromInt = Bool()
141  val wflags = Bool()
142  val fpWen = Bool()
143  val fmaCmd = UInt(2.W)
144  val div = Bool()
145  val sqrt = Bool()
146  val fcvt = Bool()
147  val typ = UInt(2.W)
148  val fmt = UInt(2.W)
149  val ren3 = Bool() //TODO: remove SrcType.fp
150  val rm = UInt(3.W)
151}
152
153// Decode DecodeWidth insts at Decode Stage
154class CtrlSignals(implicit p: Parameters) extends XSBundle {
155  val srcType = Vec(3, SrcType())
156  val lsrc = Vec(3, UInt(5.W))
157  val ldest = UInt(5.W)
158  val fuType = FuType()
159  val fuOpType = FuOpType()
160  val rfWen = Bool()
161  val fpWen = Bool()
162  val isXSTrap = Bool()
163  val noSpecExec = Bool() // wait forward
164  val blockBackward = Bool() // block backward
165  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
166  val isRVF = Bool()
167  val selImm = SelImm()
168  val imm = UInt(ImmUnion.maxLen.W)
169  val commitType = CommitType()
170  val fpu = new FPUCtrlSignals
171  val isMove = Bool()
172  val singleStep = Bool()
173  // This inst will flush all the pipe when it is the oldest inst in ROB,
174  // then replay from this inst itself
175  val replayInst = Bool()
176
177  private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen,
178    isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
179
180  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
181    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
182    allSignals zip decoder foreach { case (s, d) => s := d }
183    commitType := DontCare
184    this
185  }
186
187  def decode(bit: List[BitPat]): CtrlSignals = {
188    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
189    this
190  }
191}
192
193class CfCtrl(implicit p: Parameters) extends XSBundle {
194  val cf = new CtrlFlow
195  val ctrl = new CtrlSignals
196}
197
198class PerfDebugInfo(implicit p: Parameters) extends XSBundle {
199  val eliminatedMove = Bool()
200  // val fetchTime = UInt(64.W)
201  val renameTime = UInt(XLEN.W)
202  val dispatchTime = UInt(XLEN.W)
203  val enqRsTime = UInt(XLEN.W)
204  val selectTime = UInt(XLEN.W)
205  val issueTime = UInt(XLEN.W)
206  val writebackTime = UInt(XLEN.W)
207  // val commitTime = UInt(64.W)
208  val runahead_checkpoint_id = UInt(64.W)
209}
210
211// Separate LSQ
212class LSIdx(implicit p: Parameters) extends XSBundle {
213  val lqIdx = new LqPtr
214  val sqIdx = new SqPtr
215}
216
217// CfCtrl -> MicroOp at Rename Stage
218class MicroOp(implicit p: Parameters) extends CfCtrl {
219  val srcState = Vec(3, SrcState())
220  val psrc = Vec(3, UInt(PhyRegIdxWidth.W))
221  val pdest = UInt(PhyRegIdxWidth.W)
222  val old_pdest = UInt(PhyRegIdxWidth.W)
223  val robIdx = new RobPtr
224  val lqIdx = new LqPtr
225  val sqIdx = new SqPtr
226  val diffTestDebugLrScValid = Bool()
227  val eliminatedMove = Bool()
228  val debugInfo = new PerfDebugInfo
229  def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = {
230    isFp match {
231      case false => ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U && (srcState(index) === SrcState.rdy || ignoreState.B)
232      case _ => ctrl.srcType(index) === SrcType.fp && (srcState(index) === SrcState.rdy || ignoreState.B)
233    }
234  }
235  def srcIsReady: Vec[Bool] = {
236    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
237  }
238  def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U
239  def doWriteFpRf: Bool = ctrl.fpWen
240  def clearExceptions(): MicroOp = {
241    cf.exceptionVec.map(_ := false.B)
242    ctrl.replayInst := false.B
243    ctrl.flushPipe := false.B
244    this
245  }
246}
247
248class MicroOpRbExt(implicit p: Parameters) extends XSBundle {
249  val uop = new MicroOp
250  val flag = UInt(1.W)
251}
252
253class Redirect(implicit p: Parameters) extends XSBundle {
254  val robIdx = new RobPtr
255  val ftqIdx = new FtqPtr
256  val ftqOffset = UInt(log2Up(PredictWidth).W)
257  val level = RedirectLevel()
258  val interrupt = Bool()
259  val cfiUpdate = new CfiUpdateInfo
260
261  val stFtqIdx = new FtqPtr // for load violation predict
262  val stFtqOffset = UInt(log2Up(PredictWidth).W)
263
264  val debug_runahead_checkpoint_id = UInt(64.W)
265
266  // def isUnconditional() = RedirectLevel.isUnconditional(level)
267  def flushItself() = RedirectLevel.flushItself(level)
268  // def isException() = RedirectLevel.isException(level)
269}
270
271class Dp1ToDp2IO(implicit p: Parameters) extends XSBundle {
272  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
273  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
274  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
275}
276
277class ResetPregStateReq(implicit p: Parameters) extends XSBundle {
278  // NOTE: set isInt and isFp both to 'false' when invalid
279  val isInt = Bool()
280  val isFp = Bool()
281  val preg = UInt(PhyRegIdxWidth.W)
282}
283
284class DebugBundle(implicit p: Parameters) extends XSBundle {
285  val isMMIO = Bool()
286  val isPerfCnt = Bool()
287  val paddr = UInt(PAddrBits.W)
288  val vaddr = UInt(VAddrBits.W)
289}
290
291class ExuInput(implicit p: Parameters) extends XSBundle {
292  val uop = new MicroOp
293  val src = Vec(3, UInt(XLEN.W))
294}
295
296class ExuOutput(implicit p: Parameters) extends XSBundle {
297  val uop = new MicroOp
298  val data = UInt(XLEN.W)
299  val fflags = UInt(5.W)
300  val redirectValid = Bool()
301  val redirect = new Redirect
302  val debug = new DebugBundle
303}
304
305class ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
306  val mtip = Input(Bool())
307  val msip = Input(Bool())
308  val meip = Input(Bool())
309  val seip = Input(Bool())
310  val debug = Input(Bool())
311}
312
313class CSRSpecialIO(implicit p: Parameters) extends XSBundle {
314  val exception = Flipped(ValidIO(new MicroOp))
315  val isInterrupt = Input(Bool())
316  val memExceptionVAddr = Input(UInt(VAddrBits.W))
317  val trapTarget = Output(UInt(VAddrBits.W))
318  val externalInterrupt = new ExternalInterruptIO
319  val interrupt = Output(Bool())
320}
321
322class ExceptionInfo(implicit p: Parameters) extends XSBundle {
323  val uop = new MicroOp
324  val isInterrupt = Bool()
325}
326
327class RobCommitInfo(implicit p: Parameters) extends XSBundle {
328  val ldest = UInt(5.W)
329  val rfWen = Bool()
330  val fpWen = Bool()
331  val wflags = Bool()
332  val commitType = CommitType()
333  val pdest = UInt(PhyRegIdxWidth.W)
334  val old_pdest = UInt(PhyRegIdxWidth.W)
335  val ftqIdx = new FtqPtr
336  val ftqOffset = UInt(log2Up(PredictWidth).W)
337
338  // these should be optimized for synthesis verilog
339  val pc = UInt(VAddrBits.W)
340}
341
342class RobCommitIO(implicit p: Parameters) extends XSBundle {
343  val isWalk = Output(Bool())
344  val valid = Vec(CommitWidth, Output(Bool()))
345  val info = Vec(CommitWidth, Output(new RobCommitInfo))
346
347  def hasWalkInstr = isWalk && valid.asUInt.orR
348
349  def hasCommitInstr = !isWalk && valid.asUInt.orR
350}
351
352class RSFeedback(implicit p: Parameters) extends XSBundle {
353  val rsIdx = UInt(log2Up(IssQueSize).W)
354  val hit = Bool()
355  val flushState = Bool()
356  val sourceType = RSFeedbackType()
357  val dataInvalidSqIdx = new SqPtr
358}
359
360class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle {
361  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
362  // for instance: MemRSFeedbackIO()(updateP)
363  val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss
364  val feedbackFast = ValidIO(new RSFeedback()) // bank conflict
365  val rsIdx = Input(UInt(log2Up(IssQueSize).W))
366  val isFirstIssue = Input(Bool())
367}
368
369class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
370  // to backend end
371  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
372  val fromFtq = new FtqToCtrlIO
373  // from backend
374  val toFtq = Flipped(new CtrlToFtqIO)
375}
376
377class SatpStruct extends Bundle {
378  val mode = UInt(4.W)
379  val asid = UInt(16.W)
380  val ppn  = UInt(44.W)
381}
382
383class TlbCsrBundle(implicit p: Parameters) extends XSBundle {
384  val satp = new Bundle {
385    val changed = Bool()
386    val mode = UInt(4.W) // TODO: may change number to parameter
387    val asid = UInt(16.W)
388    val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
389
390    def apply(satp_value: UInt): Unit = {
391      require(satp_value.getWidth == XLEN)
392      val sa = satp_value.asTypeOf(new SatpStruct)
393      mode := sa.mode
394      asid := sa.asid
395      ppn := sa.ppn
396      changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
397    }
398  }
399  val priv = new Bundle {
400    val mxr = Bool()
401    val sum = Bool()
402    val imode = UInt(2.W)
403    val dmode = UInt(2.W)
404  }
405
406  override def toPrintable: Printable = {
407    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
408      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
409  }
410}
411
412class SfenceBundle(implicit p: Parameters) extends XSBundle {
413  val valid = Bool()
414  val bits = new Bundle {
415    val rs1 = Bool()
416    val rs2 = Bool()
417    val addr = UInt(VAddrBits.W)
418    val asid = UInt(AsidLength.W)
419  }
420
421  override def toPrintable: Printable = {
422    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
423  }
424}
425
426// Bundle for load violation predictor updating
427class MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
428  val valid = Bool()
429
430  // wait table update
431  val waddr = UInt(MemPredPCWidth.W)
432  val wdata = Bool() // true.B by default
433
434  // store set update
435  // by default, ldpc/stpc should be xor folded
436  val ldpc = UInt(MemPredPCWidth.W)
437  val stpc = UInt(MemPredPCWidth.W)
438}
439
440class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
441  // Prefetcher
442  val l1plus_pf_enable = Output(Bool())
443  val l2_pf_enable = Output(Bool())
444  // Labeled XiangShan
445  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
446  // Load violation predictor
447  val lvpred_disable = Output(Bool())
448  val no_spec_load = Output(Bool())
449  val storeset_wait_store = Output(Bool())
450  val storeset_no_fast_wakeup = Output(Bool())
451  val lvpred_timeout = Output(UInt(5.W))
452  // Branch predictor
453  val bp_ctrl = Output(new BPUCtrl)
454  // Memory Block
455  val sbuffer_threshold = Output(UInt(4.W))
456  val ldld_vio_check = Output(Bool())
457  // Rename
458  val move_elim_enable = Output(Bool())
459  // Decode
460  val svinval_enable = Output(Bool())
461
462  // distribute csr write signal
463  val distribute_csr = new DistributedCSRIO()
464
465  val frontend_trigger = new FrontendTdataDistributeIO()
466  val mem_trigger = new MemTdataDistributeIO()
467  val trigger_enable = Output(Vec(10, Bool()))
468}
469
470class DistributedCSRIO(implicit p: Parameters) extends XSBundle {
471  // CSR has been writen by csr inst, copies of csr should be updated
472  val w = ValidIO(new Bundle {
473    val addr = Output(UInt(12.W))
474    val data = Output(UInt(XLEN.W))
475  })
476}
477
478class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
479  // Request csr to be updated
480  //
481  // Note that this request will ONLY update CSR Module it self,
482  // copies of csr will NOT be updated, use it with care!
483  //
484  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
485  val w = ValidIO(new Bundle {
486    val addr = Output(UInt(12.W))
487    val data = Output(UInt(XLEN.W))
488  })
489  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
490    when(valid){
491      w.bits.addr := addr
492      w.bits.data := data
493    }
494    println("Distributed CSR update req registered for " + src_description)
495  }
496}
497
498class TriggerCf (implicit p: Parameters) extends XSBundle {
499  val triggerHitVec = Vec(10, Bool())
500  val triggerTiming = Vec(10, Bool())
501  val triggerChainVec = Vec(5, Bool())
502}
503
504class FrontendTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
505    val t = Valid(new Bundle {
506      val addr = Output(UInt(2.W))
507      val tdata = new MatchTriggerIO
508    })
509  }
510
511class MemTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
512  val t = Valid(new Bundle {
513    val addr = Output(UInt(3.W))
514    val tdata = new MatchTriggerIO
515  })
516}
517
518class MatchTriggerIO(implicit p: Parameters) extends XSBundle {
519  val matchType = Output(UInt(2.W))
520  val select = Output(Bool())
521  val timing = Output(Bool())
522  val action = Output(Bool())
523  val chain = Output(Bool())
524  val tdata2 = Output(UInt(64.W))
525}
526