xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision d9469c00c0ff92207955dcdff2c9085ea391c88f)
1package xiangshan
2
3import chisel3._
4import chisel3.util._
5import xiangshan.backend.SelImm
6import xiangshan.backend.brq.BrqPtr
7import xiangshan.backend.fu.fpu.Fflags
8import xiangshan.backend.rename.FreeListPtr
9import xiangshan.backend.roq.RoqPtr
10import xiangshan.backend.decode.XDecode
11import xiangshan.mem.{LqPtr, SqPtr}
12import xiangshan.frontend.PreDecodeInfo
13import xiangshan.frontend.HasBPUParameter
14import xiangshan.frontend.HasTageParameter
15import xiangshan.frontend.HasIFUConst
16import xiangshan.frontend.GlobalHistory
17import utils._
18import scala.math.max
19
20// Fetch FetchWidth x 32-bit insts from Icache
21class FetchPacket extends XSBundle {
22  val instrs = Vec(PredictWidth, UInt(32.W))
23  val mask = UInt(PredictWidth.W)
24  val pdmask = UInt(PredictWidth.W)
25  // val pc = UInt(VAddrBits.W)
26  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
27  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
28  val bpuMeta = Vec(PredictWidth, new BpuMeta)
29  val pd = Vec(PredictWidth, new PreDecodeInfo)
30  val ipf = Bool()
31  val acf = Bool()
32  val crossPageIPFFix = Bool()
33  val predTaken = Bool()
34}
35
36class ValidUndirectioned[T <: Data](gen: T) extends Bundle {
37  val valid = Bool()
38  val bits = gen.cloneType.asInstanceOf[T]
39  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
40}
41
42object ValidUndirectioned {
43  def apply[T <: Data](gen: T) = {
44    new ValidUndirectioned[T](gen)
45  }
46}
47
48class SCMeta(val useSC: Boolean) extends XSBundle with HasTageParameter {
49  def maxVal = 8 * ((1 << TageCtrBits) - 1) + SCTableInfo.map{case (_,cb,_) => (1 << cb) - 1}.reduce(_+_)
50  def minVal = -(8 * (1 << TageCtrBits) + SCTableInfo.map{case (_,cb,_) => 1 << cb}.reduce(_+_))
51  def sumCtrBits = max(log2Ceil(-minVal), log2Ceil(maxVal+1)) + 1
52  val tageTaken = if (useSC) Bool() else UInt(0.W)
53  val scUsed    = if (useSC) Bool() else UInt(0.W)
54  val scPred    = if (useSC) Bool() else UInt(0.W)
55  // Suppose ctrbits of all tables are identical
56  val ctrs      = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
57  val sumAbs    = if (useSC) UInt(sumCtrBits.W) else UInt(0.W)
58}
59
60class TageMeta extends XSBundle with HasTageParameter {
61  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
62  val altDiffers = Bool()
63  val providerU = UInt(2.W)
64  val providerCtr = UInt(3.W)
65  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
66  val taken = Bool()
67  val scMeta = new SCMeta(EnableSC)
68}
69
70class BranchPrediction extends XSBundle with HasIFUConst {
71  // val redirect = Bool()
72  val takens = UInt(PredictWidth.W)
73  // val jmpIdx = UInt(log2Up(PredictWidth).W)
74  val brMask = UInt(PredictWidth.W)
75  val jalMask = UInt(PredictWidth.W)
76  val targets = Vec(PredictWidth, UInt(VAddrBits.W))
77
78  // marks the last 2 bytes of this fetch packet
79  // val endsAtTheEndOfFirstBank = Bool()
80  // val endsAtTheEndOfLastBank = Bool()
81
82  // half RVI could only start at the end of a bank
83  val firstBankHasHalfRVI = Bool()
84  val lastBankHasHalfRVI = Bool()
85
86  // assumes that only one of the two conditions could be true
87  def lastHalfRVIMask = Cat(lastBankHasHalfRVI.asUInt, 0.U(7.W), firstBankHasHalfRVI.asUInt, 0.U(7.W))
88
89  def lastHalfRVIClearMask = ~lastHalfRVIMask
90  // is taken from half RVI
91  def lastHalfRVITaken = (takens(bankWidth-1) && firstBankHasHalfRVI) || (takens(PredictWidth-1) && lastBankHasHalfRVI)
92
93  def lastHalfRVIIdx = Mux(firstBankHasHalfRVI, (bankWidth-1).U, (PredictWidth-1).U)
94  // should not be used if not lastHalfRVITaken
95  def lastHalfRVITarget = Mux(firstBankHasHalfRVI, targets(bankWidth-1), targets(PredictWidth-1))
96
97  def realTakens  = takens  & lastHalfRVIClearMask
98  def realBrMask  = brMask  & lastHalfRVIClearMask
99  def realJalMask = jalMask & lastHalfRVIClearMask
100
101  def brNotTakens = ~takens & realBrMask
102  def sawNotTakenBr = VecInit((0 until PredictWidth).map(i =>
103                       (if (i == 0) false.B else ParallelORR(brNotTakens(i-1,0)))))
104  // def hasNotTakenBrs = (brNotTakens & LowerMaskFromLowest(realTakens)).orR
105  def unmaskedJmpIdx = ParallelPriorityEncoder(takens)
106  // if not taken before the half RVI inst
107  def saveHalfRVI = (firstBankHasHalfRVI && !(ParallelORR(takens(bankWidth-2,0)))) ||
108  (lastBankHasHalfRVI && !(ParallelORR(takens(PredictWidth-2,0))))
109  // could get PredictWidth-1 when only the first bank is valid
110  def jmpIdx = ParallelPriorityEncoder(realTakens)
111  // only used when taken
112  def target = ParallelPriorityMux(realTakens, targets)
113  def taken = ParallelORR(realTakens)
114  def takenOnBr = taken && ParallelPriorityMux(realTakens, realBrMask.asBools)
115  def hasNotTakenBrs = Mux(taken, ParallelPriorityMux(realTakens, sawNotTakenBr), ParallelORR(brNotTakens))
116}
117
118class BpuMeta extends XSBundle with HasBPUParameter {
119  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
120  val ubtbHits = Bool()
121  val btbWriteWay = UInt(log2Up(BtbWays).W)
122  val btbHitJal = Bool()
123  val bimCtr = UInt(2.W)
124  val tageMeta = new TageMeta
125  val rasSp = UInt(log2Up(RasSize).W)
126  val rasTopCtr = UInt(8.W)
127  val rasToqAddr = UInt(VAddrBits.W)
128  val fetchIdx = UInt(log2Up(PredictWidth).W)
129  val specCnt = UInt(10.W)
130  // for global history
131  val predTaken = Bool()
132  val hist = new GlobalHistory
133  val predHist = new GlobalHistory
134  val sawNotTakenBranch = Bool()
135
136  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
137  val debug_btb_cycle  = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
138  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
139
140  // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
141  //   this.histPtr := histPtr
142  //   this.tageMeta := tageMeta
143  //   this.rasSp := rasSp
144  //   this.rasTopCtr := rasTopCtr
145  //   this.asUInt
146  // }
147  def size = 0.U.asTypeOf(this).getWidth
148  def fromUInt(x: UInt) = x.asTypeOf(this)
149}
150
151class Predecode extends XSBundle with HasIFUConst {
152  val hasLastHalfRVI = Bool()
153  val mask = UInt((FetchWidth*2).W)
154  val lastHalf = UInt(nBanksInPacket.W)
155  val pd = Vec(FetchWidth*2, (new PreDecodeInfo))
156}
157
158class CfiUpdateInfo extends XSBundle {
159  // from backend
160  val pc = UInt(VAddrBits.W)
161  val pnpc = UInt(VAddrBits.W)
162  val fetchIdx = UInt(log2Up(FetchWidth*2).W)
163  // frontend -> backend -> frontend
164  val pd = new PreDecodeInfo
165  val bpuMeta = new BpuMeta
166
167  // need pipeline update
168  val target = UInt(VAddrBits.W)
169  val brTarget = UInt(VAddrBits.W)
170  val taken = Bool()
171  val isMisPred = Bool()
172  val brTag = new BrqPtr
173  val isReplay = Bool()
174}
175
176// Dequeue DecodeWidth insts from Ibuffer
177class CtrlFlow extends XSBundle {
178  val instr = UInt(32.W)
179  val pc = UInt(VAddrBits.W)
180  val exceptionVec = Vec(16, Bool())
181  val intrVec = Vec(12, Bool())
182  val brUpdate = new CfiUpdateInfo
183  val crossPageIPFFix = Bool()
184}
185
186// Decode DecodeWidth insts at Decode Stage
187class CtrlSignals extends XSBundle {
188  val src1Type, src2Type, src3Type = SrcType()
189  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
190  val ldest = UInt(5.W)
191  val fuType = FuType()
192  val fuOpType = FuOpType()
193  val rfWen = Bool()
194  val fpWen = Bool()
195  val isXSTrap = Bool()
196  val noSpecExec = Bool()  // wait forward
197  val blockBackward  = Bool()  // block backward
198  val flushPipe  = Bool()  // This inst will flush all the pipe when commit, like exception but can commit
199  val isRVF = Bool()
200  val selImm = SelImm()
201  val imm = UInt(XLEN.W)
202  val commitType = CommitType()
203
204  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = {
205    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
206    val signals =
207      Seq(src1Type, src2Type, src3Type, fuType, fuOpType, rfWen, fpWen,
208          isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
209    signals zip decoder map { case(s, d) => s := d }
210    commitType := DontCare
211    this
212  }
213}
214
215class CfCtrl extends XSBundle {
216  val cf = new CtrlFlow
217  val ctrl = new CtrlSignals
218  val brTag = new BrqPtr
219}
220
221class LSIdx extends XSBundle {
222  val lqIdx = new LqPtr
223  val sqIdx = new SqPtr
224}
225
226// CfCtrl -> MicroOp at Rename Stage
227class MicroOp extends CfCtrl {
228  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
229  val src1State, src2State, src3State = SrcState()
230  val roqIdx = new RoqPtr
231  val lqIdx = new LqPtr
232  val sqIdx = new SqPtr
233  val diffTestDebugLrScValid = Bool()
234}
235
236class Redirect extends XSBundle {
237  val roqIdx = new RoqPtr
238  val level = RedirectLevel()
239  val interrupt = Bool()
240  val pc = UInt(VAddrBits.W)
241  val target = UInt(VAddrBits.W)
242  val brTag = new BrqPtr
243
244  def isUnconditional() = RedirectLevel.isUnconditional(level)
245  def flushItself() = RedirectLevel.flushItself(level)
246  def isException() = RedirectLevel.isException(level)
247}
248
249class Dp1ToDp2IO extends XSBundle {
250  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
251  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
252  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
253}
254
255class ReplayPregReq extends XSBundle {
256  // NOTE: set isInt and isFp both to 'false' when invalid
257  val isInt = Bool()
258  val isFp = Bool()
259  val preg = UInt(PhyRegIdxWidth.W)
260}
261
262class DebugBundle extends XSBundle{
263  val isMMIO = Bool()
264}
265
266class ExuInput extends XSBundle {
267  val uop = new MicroOp
268  val src1, src2, src3 = UInt((XLEN+1).W)
269}
270
271class ExuOutput extends XSBundle {
272  val uop = new MicroOp
273  val data = UInt((XLEN+1).W)
274  val fflags  = new Fflags
275  val redirectValid = Bool()
276  val redirect = new Redirect
277  val brUpdate = new CfiUpdateInfo
278  val debug = new DebugBundle
279}
280
281class ExternalInterruptIO extends XSBundle {
282  val mtip = Input(Bool())
283  val msip = Input(Bool())
284  val meip = Input(Bool())
285}
286
287class CSRSpecialIO extends XSBundle {
288  val exception = Flipped(ValidIO(new MicroOp))
289  val isInterrupt = Input(Bool())
290  val memExceptionVAddr = Input(UInt(VAddrBits.W))
291  val trapTarget = Output(UInt(VAddrBits.W))
292  val externalInterrupt = new ExternalInterruptIO
293  val interrupt = Output(Bool())
294}
295
296class RoqCommitInfo extends XSBundle {
297  val ldest = UInt(5.W)
298  val rfWen = Bool()
299  val fpWen = Bool()
300  val commitType = CommitType()
301  val pdest = UInt(PhyRegIdxWidth.W)
302  val old_pdest = UInt(PhyRegIdxWidth.W)
303  val lqIdx = new LqPtr
304  val sqIdx = new SqPtr
305
306  // these should be optimized for synthesis verilog
307  val pc = UInt(VAddrBits.W)
308}
309
310class RoqCommitIO extends XSBundle {
311  val isWalk = Output(Bool())
312  val valid = Vec(CommitWidth, Output(Bool()))
313  val info = Vec(CommitWidth, Output(new RoqCommitInfo))
314
315  def hasWalkInstr = isWalk && valid.asUInt.orR
316  def hasCommitInstr = !isWalk && valid.asUInt.orR
317}
318
319class TlbFeedback extends XSBundle {
320  val roqIdx = new RoqPtr
321  val hit = Bool()
322}
323
324class FrontendToBackendIO extends XSBundle {
325  // to backend end
326  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
327  // from backend
328  val redirect = Flipped(ValidIO(UInt(VAddrBits.W)))
329  // val cfiUpdateInfo = Flipped(ValidIO(new CfiUpdateInfo))
330  val cfiUpdateInfo = Flipped(ValidIO(new CfiUpdateInfo))
331}
332
333class TlbCsrBundle extends XSBundle {
334  val satp = new Bundle {
335    val mode = UInt(4.W) // TODO: may change number to parameter
336    val asid = UInt(16.W)
337    val ppn  = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
338  }
339  val priv = new Bundle {
340    val mxr = Bool()
341    val sum = Bool()
342    val imode = UInt(2.W)
343    val dmode = UInt(2.W)
344  }
345
346  override def toPrintable: Printable = {
347    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
348    p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
349  }
350}
351
352class SfenceBundle extends XSBundle {
353  val valid = Bool()
354  val bits = new Bundle {
355    val rs1 = Bool()
356    val rs2 = Bool()
357    val addr = UInt(VAddrBits.W)
358  }
359
360  override def toPrintable: Printable = {
361    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
362  }
363}
364