xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision a1ea7f76add43b40af78084f7f646a0010120cd7)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan
18
19import chisel3._
20import chisel3.util._
21import xiangshan.backend.roq.RoqPtr
22import xiangshan.backend.CtrlToFtqIO
23import xiangshan.backend.decode.{ImmUnion, XDecode}
24import xiangshan.mem.{LqPtr, SqPtr}
25import xiangshan.frontend.PreDecodeInfo
26import xiangshan.frontend.HasBPUParameter
27import xiangshan.frontend.GlobalHistory
28import xiangshan.frontend.RASEntry
29import xiangshan.frontend.BPUCtrl
30import xiangshan.frontend.FtqPtr
31import xiangshan.frontend.FtqRead
32import xiangshan.frontend.FtqToCtrlIO
33import utils._
34
35import scala.math.max
36import Chisel.experimental.chiselName
37import chipsalliance.rocketchip.config.Parameters
38import chisel3.util.BitPat.bitPatToUInt
39import xiangshan.frontend.Ftq_Redirect_SRAMEntry
40
41class ValidUndirectioned[T <: Data](gen: T) extends Bundle {
42  val valid = Bool()
43  val bits = gen.cloneType.asInstanceOf[T]
44
45  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
46}
47
48object ValidUndirectioned {
49  def apply[T <: Data](gen: T) = {
50    new ValidUndirectioned[T](gen)
51  }
52}
53
54object RSFeedbackType {
55  val tlbMiss = 0.U(2.W)
56  val mshrFull = 1.U(2.W)
57  val dataInvalid = 2.U(2.W)
58
59  def apply() = UInt(2.W)
60}
61
62class PredictorAnswer(implicit p: Parameters) extends XSBundle {
63  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
64  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
65  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
66}
67
68class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
69  // from backend
70  val pc = UInt(VAddrBits.W)
71  // frontend -> backend -> frontend
72  val pd = new PreDecodeInfo
73  val rasSp = UInt(log2Up(RasSize).W)
74  val rasEntry = new RASEntry
75  val hist = new GlobalHistory
76  val phist = UInt(PathHistoryLength.W)
77  val specCnt = Vec(numBr, UInt(10.W))
78  val phNewBit = Bool()
79  // need pipeline update
80  val br_hit = Bool()
81  val predTaken = Bool()
82  val target = UInt(VAddrBits.W)
83  val taken = Bool()
84  val isMisPred = Bool()
85  val shift = UInt((log2Ceil(numBr)+1).W)
86  val addIntoHist = Bool()
87
88  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
89    this.hist := entry.ghist
90    this.phist := entry.phist
91    this.phNewBit := entry.phNewBit
92    this.rasSp := entry.rasSp
93    this.rasEntry := entry.rasEntry
94    this.specCnt := entry.specCnt
95    this
96  }
97}
98
99// Dequeue DecodeWidth insts from Ibuffer
100class CtrlFlow(implicit p: Parameters) extends XSBundle {
101  val instr = UInt(32.W)
102  val pc = UInt(VAddrBits.W)
103  val foldpc = UInt(MemPredPCWidth.W)
104  val exceptionVec = ExceptionVec()
105  val intrVec = Vec(12, Bool())
106  val pd = new PreDecodeInfo
107  val pred_taken = Bool()
108  val crossPageIPFFix = Bool()
109  val storeSetHit = Bool() // inst has been allocated an store set
110  val loadWaitBit = Bool() // load inst should not be executed until all former store addr calcuated
111  val ssid = UInt(SSIDWidth.W)
112  val ftqPtr = new FtqPtr
113  val ftqOffset = UInt(log2Up(PredictWidth).W)
114  // This inst will flush all the pipe when it is the oldest inst in ROB,
115  // then replay from this inst itself
116  val replayInst = Bool()
117}
118
119class FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
120  val isAddSub = Bool() // swap23
121  val typeTagIn = UInt(1.W)
122  val typeTagOut = UInt(1.W)
123  val fromInt = Bool()
124  val wflags = Bool()
125  val fpWen = Bool()
126  val fmaCmd = UInt(2.W)
127  val div = Bool()
128  val sqrt = Bool()
129  val fcvt = Bool()
130  val typ = UInt(2.W)
131  val fmt = UInt(2.W)
132  val ren3 = Bool() //TODO: remove SrcType.fp
133  val rm = UInt(3.W)
134}
135
136// Decode DecodeWidth insts at Decode Stage
137class CtrlSignals(implicit p: Parameters) extends XSBundle {
138  val srcType = Vec(3, SrcType())
139  val lsrc = Vec(3, UInt(5.W))
140  val ldest = UInt(5.W)
141  val fuType = FuType()
142  val fuOpType = FuOpType()
143  val rfWen = Bool()
144  val fpWen = Bool()
145  val isXSTrap = Bool()
146  val noSpecExec = Bool() // wait forward
147  val blockBackward = Bool() // block backward
148  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
149  val isRVF = Bool()
150  val selImm = SelImm()
151  val imm = UInt(ImmUnion.maxLen.W)
152  val commitType = CommitType()
153  val fpu = new FPUCtrlSignals
154  val isMove = Bool()
155  val singleStep = Bool()
156  val isFused = UInt(3.W)
157
158  private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen,
159    isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
160
161  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
162    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
163    allSignals zip decoder foreach { case (s, d) => s := d }
164    commitType := DontCare
165    this
166  }
167
168  def decode(bit: List[BitPat]): CtrlSignals = {
169    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
170    this
171  }
172}
173
174class CfCtrl(implicit p: Parameters) extends XSBundle {
175  val cf = new CtrlFlow
176  val ctrl = new CtrlSignals
177}
178
179class PerfDebugInfo(implicit p: Parameters) extends XSBundle {
180  val eliminatedMove = Bool()
181  // val fetchTime = UInt(64.W)
182  val renameTime = UInt(64.W)
183  val dispatchTime = UInt(64.W)
184  val issueTime = UInt(64.W)
185  val writebackTime = UInt(64.W)
186  // val commitTime = UInt(64.W)
187}
188
189// Separate LSQ
190class LSIdx(implicit p: Parameters) extends XSBundle {
191  val lqIdx = new LqPtr
192  val sqIdx = new SqPtr
193}
194
195// CfCtrl -> MicroOp at Rename Stage
196class MicroOp(implicit p: Parameters) extends CfCtrl {
197  val srcState = Vec(3, SrcState())
198  val psrc = Vec(3, UInt(PhyRegIdxWidth.W))
199  val pdest = UInt(PhyRegIdxWidth.W)
200  val old_pdest = UInt(PhyRegIdxWidth.W)
201  val roqIdx = new RoqPtr
202  val lqIdx = new LqPtr
203  val sqIdx = new SqPtr
204  val diffTestDebugLrScValid = Bool()
205  val eliminatedMove = Bool()
206  val debugInfo = new PerfDebugInfo
207  def needRfRPort(index: Int, rfType: Int, ignoreState: Boolean = true) : Bool = {
208    (index, rfType) match {
209      case (0, 0) => ctrl.srcType(0) === SrcType.reg && ctrl.lsrc(0) =/= 0.U && (srcState(0) === SrcState.rdy || ignoreState.B)
210      case (1, 0) => ctrl.srcType(1) === SrcType.reg && ctrl.lsrc(1) =/= 0.U && (srcState(1) === SrcState.rdy || ignoreState.B)
211      case (0, 1) => ctrl.srcType(0) === SrcType.fp && (srcState(0) === SrcState.rdy || ignoreState.B)
212      case (1, 1) => ctrl.srcType(1) === SrcType.fp && (srcState(1) === SrcState.rdy || ignoreState.B)
213      case (2, 1) => ctrl.srcType(2) === SrcType.fp && (srcState(2) === SrcState.rdy || ignoreState.B)
214      case _ => false.B
215    }
216  }
217  def srcIsReady: Vec[Bool] = {
218    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcImm(t) || s === SrcState.rdy })
219  }
220  def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U
221  def doWriteFpRf: Bool = ctrl.fpWen
222}
223
224class MicroOpRbExt(implicit p: Parameters) extends XSBundle {
225  val uop = new MicroOp
226  val flag = UInt(1.W)
227}
228
229class Redirect(implicit p: Parameters) extends XSBundle {
230  val roqIdx = new RoqPtr
231  val ftqIdx = new FtqPtr
232  val ftqOffset = UInt(log2Up(PredictWidth).W)
233  val level = RedirectLevel()
234  val interrupt = Bool()
235  val cfiUpdate = new CfiUpdateInfo
236
237  val stFtqIdx = new FtqPtr // for load violation predict
238  val stFtqOffset = UInt(log2Up(PredictWidth).W)
239
240  // def isUnconditional() = RedirectLevel.isUnconditional(level)
241  def flushItself() = RedirectLevel.flushItself(level)
242  // def isException() = RedirectLevel.isException(level)
243}
244
245class Dp1ToDp2IO(implicit p: Parameters) extends XSBundle {
246  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
247  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
248  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
249}
250
251class ReplayPregReq(implicit p: Parameters) extends XSBundle {
252  // NOTE: set isInt and isFp both to 'false' when invalid
253  val isInt = Bool()
254  val isFp = Bool()
255  val preg = UInt(PhyRegIdxWidth.W)
256}
257
258class DebugBundle(implicit p: Parameters) extends XSBundle {
259  val isMMIO = Bool()
260  val isPerfCnt = Bool()
261  val paddr = UInt(PAddrBits.W)
262}
263
264class ExuInput(implicit p: Parameters) extends XSBundle {
265  val uop = new MicroOp
266  val src = Vec(3, UInt(XLEN.W))
267}
268
269class ExuOutput(implicit p: Parameters) extends XSBundle {
270  val uop = new MicroOp
271  val data = UInt(XLEN.W)
272  val fflags = UInt(5.W)
273  val redirectValid = Bool()
274  val redirect = new Redirect
275  val debug = new DebugBundle
276}
277
278class ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
279  val mtip = Input(Bool())
280  val msip = Input(Bool())
281  val meip = Input(Bool())
282  val debug = Input(Bool())
283}
284
285class CSRSpecialIO(implicit p: Parameters) extends XSBundle {
286  val exception = Flipped(ValidIO(new MicroOp))
287  val isInterrupt = Input(Bool())
288  val memExceptionVAddr = Input(UInt(VAddrBits.W))
289  val trapTarget = Output(UInt(VAddrBits.W))
290  val externalInterrupt = new ExternalInterruptIO
291  val interrupt = Output(Bool())
292}
293
294class ExceptionInfo(implicit p: Parameters) extends XSBundle {
295  val uop = new MicroOp
296  val isInterrupt = Bool()
297}
298
299class RoqCommitInfo(implicit p: Parameters) extends XSBundle {
300  val ldest = UInt(5.W)
301  val rfWen = Bool()
302  val fpWen = Bool()
303  val wflags = Bool()
304  val commitType = CommitType()
305  val eliminatedMove = Bool()
306  val pdest = UInt(PhyRegIdxWidth.W)
307  val old_pdest = UInt(PhyRegIdxWidth.W)
308  val ftqIdx = new FtqPtr
309  val ftqOffset = UInt(log2Up(PredictWidth).W)
310  val isFused = UInt(3.W)
311
312  // these should be optimized for synthesis verilog
313  val pc = UInt(VAddrBits.W)
314}
315
316class RoqCommitIO(implicit p: Parameters) extends XSBundle {
317  val isWalk = Output(Bool())
318  val valid = Vec(CommitWidth, Output(Bool()))
319  val info = Vec(CommitWidth, Output(new RoqCommitInfo))
320
321  def hasWalkInstr = isWalk && valid.asUInt.orR
322
323  def hasCommitInstr = !isWalk && valid.asUInt.orR
324}
325
326class RSFeedback(implicit p: Parameters) extends XSBundle {
327  val rsIdx = UInt(log2Up(IssQueSize).W)
328  val hit = Bool()
329  val flushState = Bool()
330  val sourceType = RSFeedbackType()
331}
332
333class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
334  // to backend end
335  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
336  val fromFtq = new FtqToCtrlIO
337  // from backend
338  val toFtq = Flipped(new CtrlToFtqIO)
339}
340
341class TlbCsrBundle(implicit p: Parameters) extends XSBundle {
342  val satp = new Bundle {
343    val mode = UInt(4.W) // TODO: may change number to parameter
344    val asid = UInt(16.W)
345    val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
346  }
347  val priv = new Bundle {
348    val mxr = Bool()
349    val sum = Bool()
350    val imode = UInt(2.W)
351    val dmode = UInt(2.W)
352  }
353
354  override def toPrintable: Printable = {
355    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
356      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
357  }
358}
359
360class SfenceBundle(implicit p: Parameters) extends XSBundle {
361  val valid = Bool()
362  val bits = new Bundle {
363    val rs1 = Bool()
364    val rs2 = Bool()
365    val addr = UInt(VAddrBits.W)
366  }
367
368  override def toPrintable: Printable = {
369    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
370  }
371}
372
373// Bundle for load violation predictor updating
374class MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
375  val valid = Bool()
376
377  // wait table update
378  val waddr = UInt(MemPredPCWidth.W)
379  val wdata = Bool() // true.B by default
380
381  // store set update
382  // by default, ldpc/stpc should be xor folded
383  val ldpc = UInt(MemPredPCWidth.W)
384  val stpc = UInt(MemPredPCWidth.W)
385}
386
387class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
388  // Prefetcher
389  val l1plus_pf_enable = Output(Bool())
390  val l2_pf_enable = Output(Bool())
391  // Labeled XiangShan
392  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
393  // Load violation predictor
394  val lvpred_disable = Output(Bool())
395  val no_spec_load = Output(Bool())
396  val waittable_timeout = Output(UInt(5.W))
397  // Branch predictor
398  val bp_ctrl = Output(new BPUCtrl)
399  // Memory Block
400  val sbuffer_threshold = Output(UInt(4.W))
401  // Rename
402  val move_elim_enable = Output(Bool())
403}
404