1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan 18 19import chisel3._ 20import chisel3.util._ 21import xiangshan.backend.rob.RobPtr 22import xiangshan.backend.CtrlToFtqIO 23import xiangshan.backend.decode.{ImmUnion, XDecode} 24import xiangshan.mem.{LqPtr, SqPtr} 25import xiangshan.frontend.PreDecodeInfo 26import xiangshan.frontend.HasBPUParameter 27import xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory} 28import xiangshan.frontend.RASEntry 29import xiangshan.frontend.BPUCtrl 30import xiangshan.frontend.FtqPtr 31import xiangshan.frontend.CGHPtr 32import xiangshan.frontend.FtqRead 33import xiangshan.frontend.FtqToCtrlIO 34import utils._ 35import utility._ 36 37import scala.math.max 38import Chisel.experimental.chiselName 39import chipsalliance.rocketchip.config.Parameters 40import chisel3.util.BitPat.bitPatToUInt 41import xiangshan.backend.exu.ExuConfig 42import xiangshan.backend.fu.PMPEntry 43import xiangshan.frontend.Ftq_Redirect_SRAMEntry 44import xiangshan.frontend.AllFoldedHistories 45import xiangshan.frontend.AllAheadFoldedHistoryOldestBits 46 47class ValidUndirectioned[T <: Data](gen: T) extends Bundle { 48 val valid = Bool() 49 val bits = gen.cloneType.asInstanceOf[T] 50 51} 52 53object ValidUndirectioned { 54 def apply[T <: Data](gen: T) = { 55 new ValidUndirectioned[T](gen) 56 } 57} 58 59object RSFeedbackType { 60 val tlbMiss = 0.U(3.W) 61 val mshrFull = 1.U(3.W) 62 val dataInvalid = 2.U(3.W) 63 val bankConflict = 3.U(3.W) 64 val ldVioCheckRedo = 4.U(3.W) 65 66 val feedbackInvalid = 7.U(3.W) 67 68 def apply() = UInt(3.W) 69} 70 71class PredictorAnswer(implicit p: Parameters) extends XSBundle { 72 val hit = if (!env.FPGAPlatform) Bool() else UInt(0.W) 73 val taken = if (!env.FPGAPlatform) Bool() else UInt(0.W) 74 val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W) 75} 76 77class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter { 78 // from backend 79 val pc = UInt(VAddrBits.W) 80 // frontend -> backend -> frontend 81 val pd = new PreDecodeInfo 82 val rasSp = UInt(log2Up(RasSize).W) 83 val rasEntry = new RASEntry 84 // val hist = new ShiftingGlobalHistory 85 val folded_hist = new AllFoldedHistories(foldedGHistInfos) 86 val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos) 87 val lastBrNumOH = UInt((numBr+1).W) 88 val ghr = UInt(UbtbGHRLength.W) 89 val histPtr = new CGHPtr 90 val specCnt = Vec(numBr, UInt(10.W)) 91 // need pipeline update 92 val br_hit = Bool() 93 val predTaken = Bool() 94 val target = UInt(VAddrBits.W) 95 val taken = Bool() 96 val isMisPred = Bool() 97 val shift = UInt((log2Ceil(numBr)+1).W) 98 val addIntoHist = Bool() 99 100 def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = { 101 // this.hist := entry.ghist 102 this.folded_hist := entry.folded_hist 103 this.lastBrNumOH := entry.lastBrNumOH 104 this.afhob := entry.afhob 105 this.histPtr := entry.histPtr 106 this.rasSp := entry.rasSp 107 this.rasEntry := entry.rasTop 108 this 109 } 110} 111 112// Dequeue DecodeWidth insts from Ibuffer 113class CtrlFlow(implicit p: Parameters) extends XSBundle { 114 val instr = UInt(32.W) 115 val pc = UInt(VAddrBits.W) 116 val foldpc = UInt(MemPredPCWidth.W) 117 val exceptionVec = ExceptionVec() 118 val trigger = new TriggerCf 119 val pd = new PreDecodeInfo 120 val pred_taken = Bool() 121 val crossPageIPFFix = Bool() 122 val storeSetHit = Bool() // inst has been allocated an store set 123 val waitForRobIdx = new RobPtr // store set predicted previous store robIdx 124 // Load wait is needed 125 // load inst will not be executed until former store (predicted by mdp) addr calcuated 126 val loadWaitBit = Bool() 127 // If (loadWaitBit && loadWaitStrict), strict load wait is needed 128 // load inst will not be executed until ALL former store addr calcuated 129 val loadWaitStrict = Bool() 130 val ssid = UInt(SSIDWidth.W) 131 val ftqPtr = new FtqPtr 132 val ftqOffset = UInt(log2Up(PredictWidth).W) 133} 134 135 136class FPUCtrlSignals(implicit p: Parameters) extends XSBundle { 137 val isAddSub = Bool() // swap23 138 val typeTagIn = UInt(1.W) 139 val typeTagOut = UInt(1.W) 140 val fromInt = Bool() 141 val wflags = Bool() 142 val fpWen = Bool() 143 val fmaCmd = UInt(2.W) 144 val div = Bool() 145 val sqrt = Bool() 146 val fcvt = Bool() 147 val typ = UInt(2.W) 148 val fmt = UInt(2.W) 149 val ren3 = Bool() //TODO: remove SrcType.fp 150 val rm = UInt(3.W) 151} 152 153// Decode DecodeWidth insts at Decode Stage 154class CtrlSignals(implicit p: Parameters) extends XSBundle { 155 val debug_globalID = UInt(XLEN.W) 156 val srcType = Vec(3, SrcType()) 157 val lsrc = Vec(3, UInt(5.W)) 158 val ldest = UInt(5.W) 159 val fuType = FuType() 160 val fuOpType = FuOpType() 161 val rfWen = Bool() 162 val fpWen = Bool() 163 val isXSTrap = Bool() 164 val noSpecExec = Bool() // wait forward 165 val blockBackward = Bool() // block backward 166 val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit 167 val selImm = SelImm() 168 val imm = UInt(ImmUnion.maxLen.W) 169 val commitType = CommitType() 170 val fpu = new FPUCtrlSignals 171 val isMove = Bool() 172 val singleStep = Bool() 173 // This inst will flush all the pipe when it is the oldest inst in ROB, 174 // then replay from this inst itself 175 val replayInst = Bool() 176 177 private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen, 178 isXSTrap, noSpecExec, blockBackward, flushPipe, selImm) 179 180 def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = { 181 val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table) 182 allSignals zip decoder foreach { case (s, d) => s := d } 183 commitType := DontCare 184 this 185 } 186 187 def decode(bit: List[BitPat]): CtrlSignals = { 188 allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d } 189 this 190 } 191 192 def isWFI: Bool = fuType === FuType.csr && fuOpType === CSROpType.wfi 193 def isSoftPrefetch: Bool = { 194 fuType === FuType.alu && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U 195 } 196} 197 198class CfCtrl(implicit p: Parameters) extends XSBundle { 199 val cf = new CtrlFlow 200 val ctrl = new CtrlSignals 201} 202 203class PerfDebugInfo(implicit p: Parameters) extends XSBundle { 204 val eliminatedMove = Bool() 205 // val fetchTime = UInt(XLEN.W) 206 val renameTime = UInt(XLEN.W) 207 val dispatchTime = UInt(XLEN.W) 208 val enqRsTime = UInt(XLEN.W) 209 val selectTime = UInt(XLEN.W) 210 val issueTime = UInt(XLEN.W) 211 val writebackTime = UInt(XLEN.W) 212 // val commitTime = UInt(XLEN.W) 213 val runahead_checkpoint_id = UInt(XLEN.W) 214 val tlbFirstReqTime = UInt(XLEN.W) 215 val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit) 216} 217 218// Separate LSQ 219class LSIdx(implicit p: Parameters) extends XSBundle { 220 val lqIdx = new LqPtr 221 val sqIdx = new SqPtr 222} 223 224// CfCtrl -> MicroOp at Rename Stage 225class MicroOp(implicit p: Parameters) extends CfCtrl { 226 val srcState = Vec(3, SrcState()) 227 val psrc = Vec(3, UInt(PhyRegIdxWidth.W)) 228 val pdest = UInt(PhyRegIdxWidth.W) 229 val old_pdest = UInt(PhyRegIdxWidth.W) 230 val robIdx = new RobPtr 231 val lqIdx = new LqPtr 232 val sqIdx = new SqPtr 233 val eliminatedMove = Bool() 234 val debugInfo = new PerfDebugInfo 235 def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = { 236 val stateReady = srcState(index) === SrcState.rdy || ignoreState.B 237 val readReg = if (isFp) { 238 ctrl.srcType(index) === SrcType.fp 239 } else { 240 ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U 241 } 242 readReg && stateReady 243 } 244 def srcIsReady: Vec[Bool] = { 245 VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy }) 246 } 247 def clearExceptions( 248 exceptionBits: Seq[Int] = Seq(), 249 flushPipe: Boolean = false, 250 replayInst: Boolean = false 251 ): MicroOp = { 252 cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B) 253 if (!flushPipe) { ctrl.flushPipe := false.B } 254 if (!replayInst) { ctrl.replayInst := false.B } 255 this 256 } 257 // Assume only the LUI instruction is decoded with IMM_U in ALU. 258 def isLUI: Bool = ctrl.selImm === SelImm.IMM_U && ctrl.fuType === FuType.alu 259 // This MicroOp is used to wakeup another uop (the successor: (psrc, srcType). 260 def wakeup(successor: Seq[(UInt, UInt)], exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 261 successor.map{ case (src, srcType) => 262 val pdestMatch = pdest === src 263 // For state: no need to check whether src is x0/imm/pc because they are always ready. 264 val rfStateMatch = if (exuCfg.readIntRf) ctrl.rfWen else false.B 265 val fpMatch = if (exuCfg.readFpRf) ctrl.fpWen else false.B 266 val bothIntFp = exuCfg.readIntRf && exuCfg.readFpRf 267 val bothStateMatch = Mux(SrcType.regIsFp(srcType), fpMatch, rfStateMatch) 268 val stateCond = pdestMatch && (if (bothIntFp) bothStateMatch else rfStateMatch || fpMatch) 269 // For data: types are matched and int pdest is not $zero. 270 val rfDataMatch = if (exuCfg.readIntRf) ctrl.rfWen && src =/= 0.U else false.B 271 val dataCond = pdestMatch && (rfDataMatch && SrcType.isReg(srcType) || fpMatch && SrcType.isFp(srcType)) 272 (stateCond, dataCond) 273 } 274 } 275 // This MicroOp is used to wakeup another uop (the successor: MicroOp). 276 def wakeup(successor: MicroOp, exuCfg: ExuConfig): Seq[(Bool, Bool)] = { 277 wakeup(successor.psrc.zip(successor.ctrl.srcType), exuCfg) 278 } 279 def isJump: Bool = FuType.isJumpExu(ctrl.fuType) 280} 281 282class XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle { 283 val uop = new MicroOp 284} 285 286class MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp { 287 val flag = UInt(1.W) 288} 289 290class Redirect(implicit p: Parameters) extends XSBundle { 291 val robIdx = new RobPtr 292 val ftqIdx = new FtqPtr 293 val ftqOffset = UInt(log2Up(PredictWidth).W) 294 val level = RedirectLevel() 295 val interrupt = Bool() 296 val cfiUpdate = new CfiUpdateInfo 297 298 val stFtqIdx = new FtqPtr // for load violation predict 299 val stFtqOffset = UInt(log2Up(PredictWidth).W) 300 301 val debug_runahead_checkpoint_id = UInt(64.W) 302 303 // def isUnconditional() = RedirectLevel.isUnconditional(level) 304 def flushItself() = RedirectLevel.flushItself(level) 305 // def isException() = RedirectLevel.isException(level) 306} 307 308class Dp1ToDp2IO(implicit p: Parameters) extends XSBundle { 309 val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 310 val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp)) 311 val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp)) 312} 313 314class ResetPregStateReq(implicit p: Parameters) extends XSBundle { 315 // NOTE: set isInt and isFp both to 'false' when invalid 316 val isInt = Bool() 317 val isFp = Bool() 318 val preg = UInt(PhyRegIdxWidth.W) 319} 320 321class DebugBundle(implicit p: Parameters) extends XSBundle { 322 val isMMIO = Bool() 323 val isPerfCnt = Bool() 324 val paddr = UInt(PAddrBits.W) 325 val vaddr = UInt(VAddrBits.W) 326 /* add L/S inst info in EXU */ 327 // val L1toL2TlbLatency = UInt(XLEN.W) 328 // val levelTlbHit = UInt(2.W) 329} 330 331class ExuInput(implicit p: Parameters) extends XSBundleWithMicroOp { 332 val src = Vec(3, UInt(XLEN.W)) 333} 334 335class ExuOutput(implicit p: Parameters) extends XSBundleWithMicroOp { 336 val data = UInt(XLEN.W) 337 val fflags = UInt(5.W) 338 val redirectValid = Bool() 339 val redirect = new Redirect 340 val debug = new DebugBundle 341} 342 343class ExternalInterruptIO(implicit p: Parameters) extends XSBundle { 344 val mtip = Input(Bool()) 345 val msip = Input(Bool()) 346 val meip = Input(Bool()) 347 val seip = Input(Bool()) 348 val debug = Input(Bool()) 349} 350 351class CSRSpecialIO(implicit p: Parameters) extends XSBundle { 352 val exception = Flipped(ValidIO(new MicroOp)) 353 val isInterrupt = Input(Bool()) 354 val memExceptionVAddr = Input(UInt(VAddrBits.W)) 355 val trapTarget = Output(UInt(VAddrBits.W)) 356 val externalInterrupt = new ExternalInterruptIO 357 val interrupt = Output(Bool()) 358} 359 360class ExceptionInfo(implicit p: Parameters) extends XSBundleWithMicroOp { 361 val isInterrupt = Bool() 362} 363 364class RobCommitInfo(implicit p: Parameters) extends XSBundle { 365 val ldest = UInt(5.W) 366 val rfWen = Bool() 367 val fpWen = Bool() 368 val wflags = Bool() 369 val commitType = CommitType() 370 val pdest = UInt(PhyRegIdxWidth.W) 371 val old_pdest = UInt(PhyRegIdxWidth.W) 372 val ftqIdx = new FtqPtr 373 val ftqOffset = UInt(log2Up(PredictWidth).W) 374 val isMove = Bool() 375 376 // these should be optimized for synthesis verilog 377 val pc = UInt(VAddrBits.W) 378} 379 380class RobCommitIO(implicit p: Parameters) extends XSBundle { 381 val isCommit = Bool() 382 val commitValid = Vec(CommitWidth, Bool()) 383 384 val isWalk = Bool() 385 // valid bits optimized for walk 386 val walkValid = Vec(CommitWidth, Bool()) 387 388 val info = Vec(CommitWidth, new RobCommitInfo) 389 390 def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR 391 def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR 392} 393 394class RSFeedback(implicit p: Parameters) extends XSBundle { 395 val rsIdx = UInt(log2Up(IssQueSize).W) 396 val hit = Bool() 397 val flushState = Bool() 398 val sourceType = RSFeedbackType() 399 val dataInvalidSqIdx = new SqPtr 400} 401 402class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle { 403 // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO 404 // for instance: MemRSFeedbackIO()(updateP) 405 val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss 406 val feedbackFast = ValidIO(new RSFeedback()) // bank conflict 407 val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 408 val isFirstIssue = Input(Bool()) 409} 410 411class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle { 412 // to backend end 413 val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) 414 val fromFtq = new FtqToCtrlIO 415 // from backend 416 val toFtq = Flipped(new CtrlToFtqIO) 417} 418 419class SatpStruct(implicit p: Parameters) extends XSBundle { 420 val mode = UInt(4.W) 421 val asid = UInt(16.W) 422 val ppn = UInt(44.W) 423} 424 425class TlbSatpBundle(implicit p: Parameters) extends SatpStruct { 426 val changed = Bool() 427 428 def apply(satp_value: UInt): Unit = { 429 require(satp_value.getWidth == XLEN) 430 val sa = satp_value.asTypeOf(new SatpStruct) 431 mode := sa.mode 432 asid := sa.asid 433 ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt() 434 changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush 435 } 436} 437 438class TlbCsrBundle(implicit p: Parameters) extends XSBundle { 439 val satp = new TlbSatpBundle() 440 val priv = new Bundle { 441 val mxr = Bool() 442 val sum = Bool() 443 val imode = UInt(2.W) 444 val dmode = UInt(2.W) 445 } 446 447 override def toPrintable: Printable = { 448 p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " + 449 p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}" 450 } 451} 452 453class SfenceBundle(implicit p: Parameters) extends XSBundle { 454 val valid = Bool() 455 val bits = new Bundle { 456 val rs1 = Bool() 457 val rs2 = Bool() 458 val addr = UInt(VAddrBits.W) 459 val asid = UInt(AsidLength.W) 460 val flushPipe = Bool() 461 } 462 463 override def toPrintable: Printable = { 464 p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}" 465 } 466} 467 468// Bundle for load violation predictor updating 469class MemPredUpdateReq(implicit p: Parameters) extends XSBundle { 470 val valid = Bool() 471 472 // wait table update 473 val waddr = UInt(MemPredPCWidth.W) 474 val wdata = Bool() // true.B by default 475 476 // store set update 477 // by default, ldpc/stpc should be xor folded 478 val ldpc = UInt(MemPredPCWidth.W) 479 val stpc = UInt(MemPredPCWidth.W) 480} 481 482class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle { 483 // Prefetcher 484 val l1I_pf_enable = Output(Bool()) 485 val l2_pf_enable = Output(Bool()) 486 val l1D_pf_enable = Output(Bool()) 487 val l1D_pf_train_on_hit = Output(Bool()) 488 val l1D_pf_enable_agt = Output(Bool()) 489 val l1D_pf_enable_pht = Output(Bool()) 490 val l1D_pf_active_threshold = Output(UInt(4.W)) 491 val l1D_pf_active_stride = Output(UInt(6.W)) 492 val l1D_pf_enable_stride = Output(Bool()) 493 val l2_pf_store_only = Output(Bool()) 494 // ICache 495 val icache_parity_enable = Output(Bool()) 496 // Labeled XiangShan 497 val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter 498 // Load violation predictor 499 val lvpred_disable = Output(Bool()) 500 val no_spec_load = Output(Bool()) 501 val storeset_wait_store = Output(Bool()) 502 val storeset_no_fast_wakeup = Output(Bool()) 503 val lvpred_timeout = Output(UInt(5.W)) 504 // Branch predictor 505 val bp_ctrl = Output(new BPUCtrl) 506 // Memory Block 507 val sbuffer_threshold = Output(UInt(4.W)) 508 val ldld_vio_check_enable = Output(Bool()) 509 val soft_prefetch_enable = Output(Bool()) 510 val cache_error_enable = Output(Bool()) 511 val uncache_write_outstanding_enable = Output(Bool()) 512 // Rename 513 val fusion_enable = Output(Bool()) 514 val wfi_enable = Output(Bool()) 515 // Decode 516 val svinval_enable = Output(Bool()) 517 518 // distribute csr write signal 519 val distribute_csr = new DistributedCSRIO() 520 521 val singlestep = Output(Bool()) 522 val frontend_trigger = new FrontendTdataDistributeIO() 523 val mem_trigger = new MemTdataDistributeIO() 524 val trigger_enable = Output(Vec(10, Bool())) 525} 526 527class DistributedCSRIO(implicit p: Parameters) extends XSBundle { 528 // CSR has been written by csr inst, copies of csr should be updated 529 val w = ValidIO(new Bundle { 530 val addr = Output(UInt(12.W)) 531 val data = Output(UInt(XLEN.W)) 532 }) 533} 534 535class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle { 536 // Request csr to be updated 537 // 538 // Note that this request will ONLY update CSR Module it self, 539 // copies of csr will NOT be updated, use it with care! 540 // 541 // For each cycle, no more than 1 DistributedCSRUpdateReq is valid 542 val w = ValidIO(new Bundle { 543 val addr = Output(UInt(12.W)) 544 val data = Output(UInt(XLEN.W)) 545 }) 546 def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = { 547 when(valid){ 548 w.bits.addr := addr 549 w.bits.data := data 550 } 551 println("Distributed CSR update req registered for " + src_description) 552 } 553} 554 555class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle { 556 // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR 557 val source = Output(new Bundle() { 558 val tag = Bool() // l1 tag array 559 val data = Bool() // l1 data array 560 val l2 = Bool() 561 }) 562 val opType = Output(new Bundle() { 563 val fetch = Bool() 564 val load = Bool() 565 val store = Bool() 566 val probe = Bool() 567 val release = Bool() 568 val atom = Bool() 569 }) 570 val paddr = Output(UInt(PAddrBits.W)) 571 572 // report error and paddr to beu 573 // bus error unit will receive error info iff ecc_error.valid 574 val report_to_beu = Output(Bool()) 575 576 // there is an valid error 577 // l1 cache error will always be report to CACHE_ERROR csr 578 val valid = Output(Bool()) 579 580 def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = { 581 val beu_info = Wire(new L1BusErrorUnitInfo) 582 beu_info.ecc_error.valid := report_to_beu 583 beu_info.ecc_error.bits := paddr 584 beu_info 585 } 586} 587 588/* TODO how to trigger on next inst? 5891. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep 5902. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set 591xret csr to pc + 4/ + 2 5922.5 The problem is to let it commit. This is the real TODO 5933. If it is load and hit before just treat it as regular load exception 594 */ 595 596// This bundle carries trigger hit info along the pipeline 597// Now there are 10 triggers divided into 5 groups of 2 598// These groups are 599// (if if) (store store) (load loid) (if store) (if load) 600 601// Triggers in the same group can chain, meaning that they only 602// fire is both triggers in the group matches (the triggerHitVec bit is asserted) 603// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i) 604// Timing of 0 means trap at current inst, 1 means trap at next inst 605// Chaining and timing and the validness of a trigger is controlled by csr 606// In two chained triggers, if they have different timing, both won't fire 607//class TriggerCf (implicit p: Parameters) extends XSBundle { 608// val triggerHitVec = Vec(10, Bool()) 609// val triggerTiming = Vec(10, Bool()) 610// val triggerChainVec = Vec(5, Bool()) 611//} 612 613class TriggerCf(implicit p: Parameters) extends XSBundle { 614 // frontend 615 val frontendHit = Vec(4, Bool()) 616// val frontendTiming = Vec(4, Bool()) 617// val frontendHitNext = Vec(4, Bool()) 618 619// val frontendException = Bool() 620 // backend 621 val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4) 622 val backendHit = Vec(6, Bool()) 623// val backendTiming = Vec(6, Bool()) // trigger enable fro chain 624 625 // Two situations not allowed: 626 // 1. load data comparison 627 // 2. store chaining with store 628 def getHitFrontend = frontendHit.reduce(_ || _) 629 def getHitBackend = backendHit.reduce(_ || _) 630 def hit = getHitFrontend || getHitBackend 631 def clear(): Unit = { 632 frontendHit.foreach(_ := false.B) 633 backendEn.foreach(_ := false.B) 634 backendHit.foreach(_ := false.B) 635 } 636} 637 638// these 3 bundles help distribute trigger control signals from CSR 639// to Frontend, Load and Store. 640class FrontendTdataDistributeIO(implicit p: Parameters) extends XSBundle { 641 val t = Valid(new Bundle { 642 val addr = Output(UInt(2.W)) 643 val tdata = new MatchTriggerIO 644 }) 645 } 646 647class MemTdataDistributeIO(implicit p: Parameters) extends XSBundle { 648 val t = Valid(new Bundle { 649 val addr = Output(UInt(3.W)) 650 val tdata = new MatchTriggerIO 651 }) 652} 653 654class MatchTriggerIO(implicit p: Parameters) extends XSBundle { 655 val matchType = Output(UInt(2.W)) 656 val select = Output(Bool()) 657 val timing = Output(Bool()) 658 val action = Output(Bool()) 659 val chain = Output(Bool()) 660 val tdata2 = Output(UInt(64.W)) 661} 662