xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 67ba96b4871c459c09df20e3052738174021a830)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan
18
19import chisel3._
20import chisel3.util._
21import xiangshan.backend.rob.RobPtr
22import xiangshan.backend.CtrlToFtqIO
23import xiangshan.backend.decode.{ImmUnion, XDecode}
24import xiangshan.mem.{LqPtr, SqPtr}
25import xiangshan.frontend.PreDecodeInfo
26import xiangshan.frontend.HasBPUParameter
27import xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory}
28import xiangshan.frontend.RASEntry
29import xiangshan.frontend.BPUCtrl
30import xiangshan.frontend.FtqPtr
31import xiangshan.frontend.CGHPtr
32import xiangshan.frontend.FtqRead
33import xiangshan.frontend.FtqToCtrlIO
34import utils._
35import utility._
36
37import scala.math.max
38import Chisel.experimental.chiselName
39import chipsalliance.rocketchip.config.Parameters
40import chisel3.util.BitPat.bitPatToUInt
41import xiangshan.backend.exu.ExuConfig
42import xiangshan.backend.fu.PMPEntry
43import xiangshan.frontend.Ftq_Redirect_SRAMEntry
44import xiangshan.frontend.AllFoldedHistories
45import xiangshan.frontend.AllAheadFoldedHistoryOldestBits
46
47class ValidUndirectioned[T <: Data](gen: T) extends Bundle {
48  val valid = Bool()
49  val bits = gen.cloneType.asInstanceOf[T]
50
51}
52
53object ValidUndirectioned {
54  def apply[T <: Data](gen: T) = {
55    new ValidUndirectioned[T](gen)
56  }
57}
58
59object RSFeedbackType {
60  val tlbMiss = 0.U(3.W)
61  val mshrFull = 1.U(3.W)
62  val dataInvalid = 2.U(3.W)
63  val bankConflict = 3.U(3.W)
64  val ldVioCheckRedo = 4.U(3.W)
65
66  val feedbackInvalid = 7.U(3.W)
67
68  def apply() = UInt(3.W)
69}
70
71class PredictorAnswer(implicit p: Parameters) extends XSBundle {
72  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
73  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
74  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
75}
76
77class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
78  // from backend
79  val pc = UInt(VAddrBits.W)
80  // frontend -> backend -> frontend
81  val pd = new PreDecodeInfo
82  val rasSp = UInt(log2Up(RasSize).W)
83  val rasEntry = new RASEntry
84  // val hist = new ShiftingGlobalHistory
85  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
86  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
87  val lastBrNumOH = UInt((numBr+1).W)
88  val ghr = UInt(UbtbGHRLength.W)
89  val histPtr = new CGHPtr
90  val specCnt = Vec(numBr, UInt(10.W))
91  // need pipeline update
92  val br_hit = Bool()
93  val predTaken = Bool()
94  val target = UInt(VAddrBits.W)
95  val taken = Bool()
96  val isMisPred = Bool()
97  val shift = UInt((log2Ceil(numBr)+1).W)
98  val addIntoHist = Bool()
99
100  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
101    // this.hist := entry.ghist
102    this.folded_hist := entry.folded_hist
103    this.lastBrNumOH := entry.lastBrNumOH
104    this.afhob := entry.afhob
105    this.histPtr := entry.histPtr
106    this.rasSp := entry.rasSp
107    this.rasEntry := entry.rasTop
108    this
109  }
110}
111
112// Dequeue DecodeWidth insts from Ibuffer
113class CtrlFlow(implicit p: Parameters) extends XSBundle {
114  val instr = UInt(32.W)
115  val pc = UInt(VAddrBits.W)
116  val foldpc = UInt(MemPredPCWidth.W)
117  val exceptionVec = ExceptionVec()
118  val trigger = new TriggerCf
119  val pd = new PreDecodeInfo
120  val pred_taken = Bool()
121  val crossPageIPFFix = Bool()
122  val storeSetHit = Bool() // inst has been allocated an store set
123  val waitForRobIdx = new RobPtr // store set predicted previous store robIdx
124  // Load wait is needed
125  // load inst will not be executed until former store (predicted by mdp) addr calcuated
126  val loadWaitBit = Bool()
127  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
128  // load inst will not be executed until ALL former store addr calcuated
129  val loadWaitStrict = Bool()
130  val ssid = UInt(SSIDWidth.W)
131  val ftqPtr = new FtqPtr
132  val ftqOffset = UInt(log2Up(PredictWidth).W)
133}
134
135
136class FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
137  val isAddSub = Bool() // swap23
138  val typeTagIn = UInt(1.W)
139  val typeTagOut = UInt(1.W)
140  val fromInt = Bool()
141  val wflags = Bool()
142  val fpWen = Bool()
143  val fmaCmd = UInt(2.W)
144  val div = Bool()
145  val sqrt = Bool()
146  val fcvt = Bool()
147  val typ = UInt(2.W)
148  val fmt = UInt(2.W)
149  val ren3 = Bool() //TODO: remove SrcType.fp
150  val rm = UInt(3.W)
151}
152
153// Decode DecodeWidth insts at Decode Stage
154class CtrlSignals(implicit p: Parameters) extends XSBundle {
155  val srcType = Vec(3, SrcType())
156  val lsrc = Vec(3, UInt(5.W))
157  val ldest = UInt(5.W)
158  val fuType = FuType()
159  val fuOpType = FuOpType()
160  val rfWen = Bool()
161  val fpWen = Bool()
162  val isXSTrap = Bool()
163  val noSpecExec = Bool() // wait forward
164  val blockBackward = Bool() // block backward
165  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
166  val selImm = SelImm()
167  val imm = UInt(ImmUnion.maxLen.W)
168  val commitType = CommitType()
169  val fpu = new FPUCtrlSignals
170  val isMove = Bool()
171  val singleStep = Bool()
172  // This inst will flush all the pipe when it is the oldest inst in ROB,
173  // then replay from this inst itself
174  val replayInst = Bool()
175
176  private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen,
177    isXSTrap, noSpecExec, blockBackward, flushPipe, selImm)
178
179  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
180    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
181    allSignals zip decoder foreach { case (s, d) => s := d }
182    commitType := DontCare
183    this
184  }
185
186  def decode(bit: List[BitPat]): CtrlSignals = {
187    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
188    this
189  }
190
191  def isWFI: Bool = fuType === FuType.csr && fuOpType === CSROpType.wfi
192  def isSoftPrefetch: Bool = {
193    fuType === FuType.alu && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U
194  }
195}
196
197class CfCtrl(implicit p: Parameters) extends XSBundle {
198  val cf = new CtrlFlow
199  val ctrl = new CtrlSignals
200}
201
202class PerfDebugInfo(implicit p: Parameters) extends XSBundle {
203  val eliminatedMove = Bool()
204  // val fetchTime = UInt(64.W)
205  val renameTime = UInt(XLEN.W)
206  val dispatchTime = UInt(XLEN.W)
207  val enqRsTime = UInt(XLEN.W)
208  val selectTime = UInt(XLEN.W)
209  val issueTime = UInt(XLEN.W)
210  val writebackTime = UInt(XLEN.W)
211  // val commitTime = UInt(64.W)
212  val runahead_checkpoint_id = UInt(64.W)
213}
214
215// Separate LSQ
216class LSIdx(implicit p: Parameters) extends XSBundle {
217  val lqIdx = new LqPtr
218  val sqIdx = new SqPtr
219}
220
221// CfCtrl -> MicroOp at Rename Stage
222class MicroOp(implicit p: Parameters) extends CfCtrl {
223  val srcState = Vec(3, SrcState())
224  val psrc = Vec(3, UInt(PhyRegIdxWidth.W))
225  val pdest = UInt(PhyRegIdxWidth.W)
226  val old_pdest = UInt(PhyRegIdxWidth.W)
227  val robIdx = new RobPtr
228  val lqIdx = new LqPtr
229  val sqIdx = new SqPtr
230  val eliminatedMove = Bool()
231  val debugInfo = new PerfDebugInfo
232  def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = {
233    val stateReady = srcState(index) === SrcState.rdy || ignoreState.B
234    val readReg = if (isFp) {
235      ctrl.srcType(index) === SrcType.fp
236    } else {
237      ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U
238    }
239    readReg && stateReady
240  }
241  def srcIsReady: Vec[Bool] = {
242    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
243  }
244  def clearExceptions(
245    exceptionBits: Seq[Int] = Seq(),
246    flushPipe: Boolean = false,
247    replayInst: Boolean = false
248  ): MicroOp = {
249    cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B)
250    if (!flushPipe) { ctrl.flushPipe := false.B }
251    if (!replayInst) { ctrl.replayInst := false.B }
252    this
253  }
254  // Assume only the LUI instruction is decoded with IMM_U in ALU.
255  def isLUI: Bool = ctrl.selImm === SelImm.IMM_U && ctrl.fuType === FuType.alu
256  // This MicroOp is used to wakeup another uop (the successor: (psrc, srcType).
257  def wakeup(successor: Seq[(UInt, UInt)], exuCfg: ExuConfig): Seq[(Bool, Bool)] = {
258    successor.map{ case (src, srcType) =>
259      val pdestMatch = pdest === src
260      // For state: no need to check whether src is x0/imm/pc because they are always ready.
261      val rfStateMatch = if (exuCfg.readIntRf) ctrl.rfWen else false.B
262      val fpMatch = if (exuCfg.readFpRf) ctrl.fpWen else false.B
263      val bothIntFp = exuCfg.readIntRf && exuCfg.readFpRf
264      val bothStateMatch = Mux(SrcType.regIsFp(srcType), fpMatch, rfStateMatch)
265      val stateCond = pdestMatch && (if (bothIntFp) bothStateMatch else rfStateMatch || fpMatch)
266      // For data: types are matched and int pdest is not $zero.
267      val rfDataMatch = if (exuCfg.readIntRf) ctrl.rfWen && src =/= 0.U else false.B
268      val dataCond = pdestMatch && (rfDataMatch && SrcType.isReg(srcType) || fpMatch && SrcType.isFp(srcType))
269      (stateCond, dataCond)
270    }
271  }
272  // This MicroOp is used to wakeup another uop (the successor: MicroOp).
273  def wakeup(successor: MicroOp, exuCfg: ExuConfig): Seq[(Bool, Bool)] = {
274    wakeup(successor.psrc.zip(successor.ctrl.srcType), exuCfg)
275  }
276  def isJump: Bool = FuType.isJumpExu(ctrl.fuType)
277}
278
279class XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle {
280  val uop = new MicroOp
281}
282
283class MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp {
284  val flag = UInt(1.W)
285}
286
287class Redirect(implicit p: Parameters) extends XSBundle {
288  val robIdx = new RobPtr
289  val ftqIdx = new FtqPtr
290  val ftqOffset = UInt(log2Up(PredictWidth).W)
291  val level = RedirectLevel()
292  val interrupt = Bool()
293  val cfiUpdate = new CfiUpdateInfo
294
295  val stFtqIdx = new FtqPtr // for load violation predict
296  val stFtqOffset = UInt(log2Up(PredictWidth).W)
297
298  val debug_runahead_checkpoint_id = UInt(64.W)
299
300  // def isUnconditional() = RedirectLevel.isUnconditional(level)
301  def flushItself() = RedirectLevel.flushItself(level)
302  // def isException() = RedirectLevel.isException(level)
303}
304
305class Dp1ToDp2IO(implicit p: Parameters) extends XSBundle {
306  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
307  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
308  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
309}
310
311class ResetPregStateReq(implicit p: Parameters) extends XSBundle {
312  // NOTE: set isInt and isFp both to 'false' when invalid
313  val isInt = Bool()
314  val isFp = Bool()
315  val preg = UInt(PhyRegIdxWidth.W)
316}
317
318class DebugBundle(implicit p: Parameters) extends XSBundle {
319  val isMMIO = Bool()
320  val isPerfCnt = Bool()
321  val paddr = UInt(PAddrBits.W)
322  val vaddr = UInt(VAddrBits.W)
323}
324
325class ExuInput(implicit p: Parameters) extends XSBundleWithMicroOp {
326  val src = Vec(3, UInt(XLEN.W))
327}
328
329class ExuOutput(implicit p: Parameters) extends XSBundleWithMicroOp {
330  val data = UInt(XLEN.W)
331  val fflags = UInt(5.W)
332  val redirectValid = Bool()
333  val redirect = new Redirect
334  val debug = new DebugBundle
335}
336
337class ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
338  val mtip = Input(Bool())
339  val msip = Input(Bool())
340  val meip = Input(Bool())
341  val seip = Input(Bool())
342  val debug = Input(Bool())
343}
344
345class CSRSpecialIO(implicit p: Parameters) extends XSBundle {
346  val exception = Flipped(ValidIO(new MicroOp))
347  val isInterrupt = Input(Bool())
348  val memExceptionVAddr = Input(UInt(VAddrBits.W))
349  val trapTarget = Output(UInt(VAddrBits.W))
350  val externalInterrupt = new ExternalInterruptIO
351  val interrupt = Output(Bool())
352}
353
354class ExceptionInfo(implicit p: Parameters) extends XSBundleWithMicroOp {
355  val isInterrupt = Bool()
356}
357
358class RobCommitInfo(implicit p: Parameters) extends XSBundle {
359  val ldest = UInt(5.W)
360  val rfWen = Bool()
361  val fpWen = Bool()
362  val wflags = Bool()
363  val commitType = CommitType()
364  val pdest = UInt(PhyRegIdxWidth.W)
365  val old_pdest = UInt(PhyRegIdxWidth.W)
366  val ftqIdx = new FtqPtr
367  val ftqOffset = UInt(log2Up(PredictWidth).W)
368  val isMove = Bool()
369
370  // these should be optimized for synthesis verilog
371  val pc = UInt(VAddrBits.W)
372}
373
374class RobCommitIO(implicit p: Parameters) extends XSBundle {
375  val isCommit = Bool()
376  val commitValid = Vec(CommitWidth, Bool())
377
378  val isWalk = Bool()
379  // valid bits optimized for walk
380  val walkValid = Vec(CommitWidth, Bool())
381
382  val info = Vec(CommitWidth, new RobCommitInfo)
383
384  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
385  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
386}
387
388class RSFeedback(implicit p: Parameters) extends XSBundle {
389  val rsIdx = UInt(log2Up(IssQueSize).W)
390  val hit = Bool()
391  val flushState = Bool()
392  val sourceType = RSFeedbackType()
393  val dataInvalidSqIdx = new SqPtr
394}
395
396class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle {
397  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
398  // for instance: MemRSFeedbackIO()(updateP)
399  val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss
400  val feedbackFast = ValidIO(new RSFeedback()) // bank conflict
401  val rsIdx = Input(UInt(log2Up(IssQueSize).W))
402  val isFirstIssue = Input(Bool())
403}
404
405class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
406  // to backend end
407  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
408  val fromFtq = new FtqToCtrlIO
409  // from backend
410  val toFtq = Flipped(new CtrlToFtqIO)
411}
412
413class SatpStruct(implicit p: Parameters) extends XSBundle {
414  val mode = UInt(4.W)
415  val asid = UInt(16.W)
416  val ppn  = UInt(44.W)
417}
418
419class TlbSatpBundle(implicit p: Parameters) extends SatpStruct {
420  val changed = Bool()
421
422  def apply(satp_value: UInt): Unit = {
423    require(satp_value.getWidth == XLEN)
424    val sa = satp_value.asTypeOf(new SatpStruct)
425    mode := sa.mode
426    asid := sa.asid
427    ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt()
428    changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
429  }
430}
431
432class TlbCsrBundle(implicit p: Parameters) extends XSBundle {
433  val satp = new TlbSatpBundle()
434  val priv = new Bundle {
435    val mxr = Bool()
436    val sum = Bool()
437    val imode = UInt(2.W)
438    val dmode = UInt(2.W)
439  }
440
441  override def toPrintable: Printable = {
442    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
443      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
444  }
445}
446
447class SfenceBundle(implicit p: Parameters) extends XSBundle {
448  val valid = Bool()
449  val bits = new Bundle {
450    val rs1 = Bool()
451    val rs2 = Bool()
452    val addr = UInt(VAddrBits.W)
453    val asid = UInt(AsidLength.W)
454    val flushPipe = Bool()
455  }
456
457  override def toPrintable: Printable = {
458    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}"
459  }
460}
461
462// Bundle for load violation predictor updating
463class MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
464  val valid = Bool()
465
466  // wait table update
467  val waddr = UInt(MemPredPCWidth.W)
468  val wdata = Bool() // true.B by default
469
470  // store set update
471  // by default, ldpc/stpc should be xor folded
472  val ldpc = UInt(MemPredPCWidth.W)
473  val stpc = UInt(MemPredPCWidth.W)
474}
475
476class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
477  // Prefetcher
478  val l1I_pf_enable = Output(Bool())
479  val l2_pf_enable = Output(Bool())
480  // ICache
481  val icache_parity_enable = Output(Bool())
482  // Labeled XiangShan
483  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
484  // Load violation predictor
485  val lvpred_disable = Output(Bool())
486  val no_spec_load = Output(Bool())
487  val storeset_wait_store = Output(Bool())
488  val storeset_no_fast_wakeup = Output(Bool())
489  val lvpred_timeout = Output(UInt(5.W))
490  // Branch predictor
491  val bp_ctrl = Output(new BPUCtrl)
492  // Memory Block
493  val sbuffer_threshold = Output(UInt(4.W))
494  val ldld_vio_check_enable = Output(Bool())
495  val soft_prefetch_enable = Output(Bool())
496  val cache_error_enable = Output(Bool())
497  val uncache_write_outstanding_enable = Output(Bool())
498  // Rename
499  val fusion_enable = Output(Bool())
500  val wfi_enable = Output(Bool())
501  // Decode
502  val svinval_enable = Output(Bool())
503
504  // distribute csr write signal
505  val distribute_csr = new DistributedCSRIO()
506
507  val singlestep = Output(Bool())
508  val frontend_trigger = new FrontendTdataDistributeIO()
509  val mem_trigger = new MemTdataDistributeIO()
510  val trigger_enable = Output(Vec(10, Bool()))
511}
512
513class DistributedCSRIO(implicit p: Parameters) extends XSBundle {
514  // CSR has been written by csr inst, copies of csr should be updated
515  val w = ValidIO(new Bundle {
516    val addr = Output(UInt(12.W))
517    val data = Output(UInt(XLEN.W))
518  })
519}
520
521class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
522  // Request csr to be updated
523  //
524  // Note that this request will ONLY update CSR Module it self,
525  // copies of csr will NOT be updated, use it with care!
526  //
527  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
528  val w = ValidIO(new Bundle {
529    val addr = Output(UInt(12.W))
530    val data = Output(UInt(XLEN.W))
531  })
532  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
533    when(valid){
534      w.bits.addr := addr
535      w.bits.data := data
536    }
537    println("Distributed CSR update req registered for " + src_description)
538  }
539}
540
541class L1CacheErrorInfo(implicit p: Parameters) extends XSBundle {
542  // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR
543  val source = Output(new Bundle() {
544    val tag = Bool() // l1 tag array
545    val data = Bool() // l1 data array
546    val l2 = Bool()
547  })
548  val opType = Output(new Bundle() {
549    val fetch = Bool()
550    val load = Bool()
551    val store = Bool()
552    val probe = Bool()
553    val release = Bool()
554    val atom = Bool()
555  })
556  val paddr = Output(UInt(PAddrBits.W))
557
558  // report error and paddr to beu
559  // bus error unit will receive error info iff ecc_error.valid
560  val report_to_beu = Output(Bool())
561
562  // there is an valid error
563  // l1 cache error will always be report to CACHE_ERROR csr
564  val valid = Output(Bool())
565
566  def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = {
567    val beu_info = Wire(new L1BusErrorUnitInfo)
568    beu_info.ecc_error.valid := report_to_beu
569    beu_info.ecc_error.bits := paddr
570    beu_info
571  }
572}
573
574/* TODO how to trigger on next inst?
5751. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep
5762. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set
577xret csr to pc + 4/ + 2
5782.5 The problem is to let it commit. This is the real TODO
5793. If it is load and hit before just treat it as regular load exception
580 */
581
582// This bundle carries trigger hit info along the pipeline
583// Now there are 10 triggers divided into 5 groups of 2
584// These groups are
585// (if if) (store store) (load loid) (if store) (if load)
586
587// Triggers in the same group can chain, meaning that they only
588// fire is both triggers in the group matches (the triggerHitVec bit is asserted)
589// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i)
590// Timing of 0 means trap at current inst, 1 means trap at next inst
591// Chaining and timing and the validness of a trigger is controlled by csr
592// In two chained triggers, if they have different timing, both won't fire
593//class TriggerCf (implicit p: Parameters) extends XSBundle {
594//  val triggerHitVec = Vec(10, Bool())
595//  val triggerTiming = Vec(10, Bool())
596//  val triggerChainVec = Vec(5, Bool())
597//}
598
599class TriggerCf(implicit p: Parameters) extends XSBundle {
600  // frontend
601  val frontendHit = Vec(4, Bool())
602//  val frontendTiming = Vec(4, Bool())
603//  val frontendHitNext = Vec(4, Bool())
604
605//  val frontendException = Bool()
606  // backend
607  val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4)
608  val backendHit = Vec(6, Bool())
609//  val backendTiming = Vec(6, Bool()) // trigger enable fro chain
610
611  // Two situations not allowed:
612  // 1. load data comparison
613  // 2. store chaining with store
614  def getHitFrontend = frontendHit.reduce(_ || _)
615  def getHitBackend = backendHit.reduce(_ || _)
616  def hit = getHitFrontend || getHitBackend
617  def clear(): Unit = {
618    frontendHit.foreach(_ := false.B)
619    backendEn.foreach(_ := false.B)
620    backendHit.foreach(_ := false.B)
621  }
622}
623
624// these 3 bundles help distribute trigger control signals from CSR
625// to Frontend, Load and Store.
626class FrontendTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
627    val t = Valid(new Bundle {
628      val addr = Output(UInt(2.W))
629      val tdata = new MatchTriggerIO
630    })
631  }
632
633class MemTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
634  val t = Valid(new Bundle {
635    val addr = Output(UInt(3.W))
636    val tdata = new MatchTriggerIO
637  })
638}
639
640class MatchTriggerIO(implicit p: Parameters) extends XSBundle {
641  val matchType = Output(UInt(2.W))
642  val select = Output(Bool())
643  val timing = Output(Bool())
644  val action = Output(Bool())
645  val chain = Output(Bool())
646  val tdata2 = Output(UInt(64.W))
647}
648