xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 2199a01c65d5a7bf503c4b40771336a50a6f1122)
1package xiangshan
2
3import chisel3._
4import chisel3.util._
5import xiangshan.backend.SelImm
6import xiangshan.backend.brq.BrqPtr
7import xiangshan.backend.rename.FreeListPtr
8import xiangshan.backend.roq.RoqPtr
9import xiangshan.backend.decode.{ImmUnion, XDecode}
10import xiangshan.mem.{LqPtr, SqPtr}
11import xiangshan.frontend.PreDecodeInfo
12import xiangshan.frontend.HasBPUParameter
13import xiangshan.frontend.HasTageParameter
14import xiangshan.frontend.HasIFUConst
15import xiangshan.frontend.GlobalHistory
16import utils._
17
18import scala.math.max
19import Chisel.experimental.chiselName
20
21// Fetch FetchWidth x 32-bit insts from Icache
22class FetchPacket extends XSBundle {
23  val instrs = Vec(PredictWidth, UInt(32.W))
24  val mask = UInt(PredictWidth.W)
25  val pdmask = UInt(PredictWidth.W)
26  // val pc = UInt(VAddrBits.W)
27  val pc = Vec(PredictWidth, UInt(VAddrBits.W))
28  val pnpc = Vec(PredictWidth, UInt(VAddrBits.W))
29  val bpuMeta = Vec(PredictWidth, new BpuMeta)
30  val pd = Vec(PredictWidth, new PreDecodeInfo)
31  val ipf = Bool()
32  val acf = Bool()
33  val crossPageIPFFix = Bool()
34  val predTaken = Bool()
35}
36
37class ValidUndirectioned[T <: Data](gen: T) extends Bundle {
38  val valid = Bool()
39  val bits = gen.cloneType.asInstanceOf[T]
40  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
41}
42
43object ValidUndirectioned {
44  def apply[T <: Data](gen: T) = {
45    new ValidUndirectioned[T](gen)
46  }
47}
48
49class SCMeta(val useSC: Boolean) extends XSBundle with HasTageParameter {
50  def maxVal = 8 * ((1 << TageCtrBits) - 1) + SCTableInfo.map{case (_,cb,_) => (1 << cb) - 1}.reduce(_+_)
51  def minVal = -(8 * (1 << TageCtrBits) + SCTableInfo.map{case (_,cb,_) => 1 << cb}.reduce(_+_))
52  def sumCtrBits = max(log2Ceil(-minVal), log2Ceil(maxVal+1)) + 1
53  val tageTaken = if (useSC) Bool() else UInt(0.W)
54  val scUsed    = if (useSC) Bool() else UInt(0.W)
55  val scPred    = if (useSC) Bool() else UInt(0.W)
56  // Suppose ctrbits of all tables are identical
57  val ctrs      = if (useSC) Vec(SCNTables, SInt(SCCtrBits.W)) else Vec(SCNTables, SInt(0.W))
58  val sumAbs    = if (useSC) UInt(sumCtrBits.W) else UInt(0.W)
59}
60
61class TageMeta extends XSBundle with HasTageParameter {
62  val provider = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
63  val altDiffers = Bool()
64  val providerU = UInt(2.W)
65  val providerCtr = UInt(3.W)
66  val allocate = ValidUndirectioned(UInt(log2Ceil(TageNTables).W))
67  val taken = Bool()
68  val scMeta = new SCMeta(EnableSC)
69}
70
71@chiselName
72class BranchPrediction extends XSBundle with HasIFUConst {
73  // val redirect = Bool()
74  val takens = UInt(PredictWidth.W)
75  // val jmpIdx = UInt(log2Up(PredictWidth).W)
76  val brMask = UInt(PredictWidth.W)
77  val jalMask = UInt(PredictWidth.W)
78  val targets = Vec(PredictWidth, UInt(VAddrBits.W))
79
80  // marks the last 2 bytes of this fetch packet
81  // val endsAtTheEndOfFirstBank = Bool()
82  // val endsAtTheEndOfLastBank = Bool()
83
84  // half RVI could only start at the end of a packet
85  val hasHalfRVI = Bool()
86
87
88  // assumes that only one of the two conditions could be true
89  def lastHalfRVIMask = Cat(hasHalfRVI.asUInt, 0.U((PredictWidth-1).W))
90
91  def lastHalfRVIClearMask = ~lastHalfRVIMask
92  // is taken from half RVI
93  def lastHalfRVITaken = takens(PredictWidth-1) && hasHalfRVI
94
95  def lastHalfRVIIdx = (PredictWidth-1).U
96  // should not be used if not lastHalfRVITaken
97  def lastHalfRVITarget = targets(PredictWidth-1)
98
99  def realTakens  = takens  & lastHalfRVIClearMask
100  def realBrMask  = brMask  & lastHalfRVIClearMask
101  def realJalMask = jalMask & lastHalfRVIClearMask
102
103  def brNotTakens = (~takens & realBrMask)
104  def sawNotTakenBr = VecInit((0 until PredictWidth).map(i =>
105                       (if (i == 0) false.B else ParallelORR(brNotTakens(i-1,0)))))
106  // def hasNotTakenBrs = (brNotTakens & LowerMaskFromLowest(realTakens)).orR
107  def unmaskedJmpIdx = ParallelPriorityEncoder(takens)
108  // if not taken before the half RVI inst
109  def saveHalfRVI = hasHalfRVI && !(ParallelORR(takens(PredictWidth-2,0)))
110  // could get PredictWidth-1 when only the first bank is valid
111  def jmpIdx = ParallelPriorityEncoder(realTakens)
112  // only used when taken
113  def target = {
114    val generator = new PriorityMuxGenerator[UInt]
115    generator.register(realTakens.asBools, targets, List.fill(PredictWidth)(None))
116    generator()
117  }
118  def taken = ParallelORR(realTakens)
119  def takenOnBr = taken && ParallelPriorityMux(realTakens, realBrMask.asBools)
120  def hasNotTakenBrs = Mux(taken, ParallelPriorityMux(realTakens, sawNotTakenBr), ParallelORR(brNotTakens))
121}
122
123class BpuMeta extends XSBundle with HasBPUParameter {
124  val ubtbWriteWay = UInt(log2Up(UBtbWays).W)
125  val ubtbHits = Bool()
126  val btbWriteWay = UInt(log2Up(BtbWays).W)
127  val btbHitJal = Bool()
128  val bimCtr = UInt(2.W)
129  val tageMeta = new TageMeta
130  val rasSp = UInt(log2Up(RasSize).W)
131  val rasTopCtr = UInt(8.W)
132  val rasToqAddr = UInt(VAddrBits.W)
133  val fetchIdx = UInt(log2Up(PredictWidth).W)
134  val specCnt = UInt(10.W)
135  // for global history
136  val predTaken = Bool()
137  val hist = new GlobalHistory
138  val predHist = new GlobalHistory
139  val sawNotTakenBranch = Bool()
140
141  val debug_ubtb_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
142  val debug_btb_cycle  = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
143  val debug_tage_cycle = if (EnableBPUTimeRecord) UInt(64.W) else UInt(0.W)
144
145  val predictor = if (BPUDebug) UInt(log2Up(4).W) else UInt(0.W) // Mark which component this prediction comes from {ubtb, btb, tage, loopPredictor}
146
147  // def apply(histPtr: UInt, tageMeta: TageMeta, rasSp: UInt, rasTopCtr: UInt) = {
148  //   this.histPtr := histPtr
149  //   this.tageMeta := tageMeta
150  //   this.rasSp := rasSp
151  //   this.rasTopCtr := rasTopCtr
152  //   this.asUInt
153  // }
154  def size = 0.U.asTypeOf(this).getWidth
155  def fromUInt(x: UInt) = x.asTypeOf(this)
156}
157
158class Predecode extends XSBundle with HasIFUConst {
159  val hasLastHalfRVI = Bool()
160  val mask = UInt(PredictWidth.W)
161  val lastHalf = Bool()
162  val pd = Vec(PredictWidth, (new PreDecodeInfo))
163}
164
165class CfiUpdateInfo extends XSBundle with HasBPUParameter {
166  // from backend
167  val pc = UInt(VAddrBits.W)
168  val pnpc = UInt(VAddrBits.W)
169  val fetchIdx = UInt(log2Up(PredictWidth).W)
170  // frontend -> backend -> frontend
171  val pd = new PreDecodeInfo
172  val bpuMeta = new BpuMeta
173
174  // need pipeline update
175  val target = UInt(VAddrBits.W)
176  val brTarget = UInt(VAddrBits.W)
177  val taken = Bool()
178  val isMisPred = Bool()
179  val brTag = new BrqPtr
180  val isReplay = Bool()
181}
182
183// Dequeue DecodeWidth insts from Ibuffer
184class CtrlFlow extends XSBundle {
185  val instr = UInt(32.W)
186  val pc = UInt(VAddrBits.W)
187  val exceptionVec = ExceptionVec()
188  val intrVec = Vec(12, Bool())
189  val brUpdate = new CfiUpdateInfo
190  val crossPageIPFFix = Bool()
191}
192
193
194class FPUCtrlSignals extends XSBundle {
195  val isAddSub = Bool() // swap23
196	val typeTagIn = UInt(2.W)
197	val typeTagOut = UInt(2.W)
198  val fromInt = Bool()
199  val wflags = Bool()
200  val fpWen = Bool()
201  val fmaCmd = UInt(2.W)
202  val div = Bool()
203  val sqrt = Bool()
204  val fcvt = Bool()
205  val typ = UInt(2.W)
206  val fmt = UInt(2.W)
207  val ren3 = Bool() //TODO: remove SrcType.fp
208}
209
210// Decode DecodeWidth insts at Decode Stage
211class CtrlSignals extends XSBundle {
212  val src1Type, src2Type, src3Type = SrcType()
213  val lsrc1, lsrc2, lsrc3 = UInt(5.W)
214  val ldest = UInt(5.W)
215  val fuType = FuType()
216  val fuOpType = FuOpType()
217  val rfWen = Bool()
218  val fpWen = Bool()
219  val isXSTrap = Bool()
220  val noSpecExec = Bool()  // wait forward
221  val blockBackward  = Bool()  // block backward
222  val flushPipe  = Bool()  // This inst will flush all the pipe when commit, like exception but can commit
223  val isRVF = Bool()
224  val selImm = SelImm()
225  val imm = UInt(ImmUnion.maxLen.W)
226  val commitType = CommitType()
227  val fpu = new FPUCtrlSignals
228
229  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]) = {
230    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
231    val signals =
232      Seq(src1Type, src2Type, src3Type, fuType, fuOpType, rfWen, fpWen,
233          isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
234    signals zip decoder map { case(s, d) => s := d }
235    commitType := DontCare
236    this
237  }
238}
239
240class CfCtrl extends XSBundle {
241  val cf = new CtrlFlow
242  val ctrl = new CtrlSignals
243  val brTag = new BrqPtr
244}
245
246class PerfDebugInfo extends XSBundle {
247  // val fetchTime = UInt(64.W)
248  val renameTime = UInt(64.W)
249  val dispatchTime = UInt(64.W)
250  val issueTime = UInt(64.W)
251  val writebackTime = UInt(64.W)
252  // val commitTime = UInt(64.W)
253}
254
255// Separate LSQ
256class LSIdx extends XSBundle {
257  val lqIdx = new LqPtr
258  val sqIdx = new SqPtr
259}
260
261// CfCtrl -> MicroOp at Rename Stage
262class MicroOp extends CfCtrl {
263  val psrc1, psrc2, psrc3, pdest, old_pdest = UInt(PhyRegIdxWidth.W)
264  val src1State, src2State, src3State = SrcState()
265  val roqIdx = new RoqPtr
266  val lqIdx = new LqPtr
267  val sqIdx = new SqPtr
268  val diffTestDebugLrScValid = Bool()
269  val debugInfo = new PerfDebugInfo
270}
271
272class Redirect extends XSBundle {
273  val roqIdx = new RoqPtr
274  val level = RedirectLevel()
275  val interrupt = Bool()
276  val pc = UInt(VAddrBits.W)
277  val target = UInt(VAddrBits.W)
278  val brTag = new BrqPtr
279
280  def isUnconditional() = RedirectLevel.isUnconditional(level)
281  def flushItself() = RedirectLevel.flushItself(level)
282  def isException() = RedirectLevel.isException(level)
283}
284
285class Dp1ToDp2IO extends XSBundle {
286  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
287  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
288  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
289}
290
291class ReplayPregReq extends XSBundle {
292  // NOTE: set isInt and isFp both to 'false' when invalid
293  val isInt = Bool()
294  val isFp = Bool()
295  val preg = UInt(PhyRegIdxWidth.W)
296}
297
298class DebugBundle extends XSBundle{
299  val isMMIO = Bool()
300  val isPerfCnt = Bool()
301}
302
303class ExuInput extends XSBundle {
304  val uop = new MicroOp
305  val src1, src2, src3 = UInt((XLEN+1).W)
306}
307
308class ExuOutput extends XSBundle {
309  val uop = new MicroOp
310  val data = UInt((XLEN+1).W)
311  val fflags  = UInt(5.W)
312  val redirectValid = Bool()
313  val redirect = new Redirect
314  val brUpdate = new CfiUpdateInfo
315  val debug = new DebugBundle
316}
317
318class ExternalInterruptIO extends XSBundle {
319  val mtip = Input(Bool())
320  val msip = Input(Bool())
321  val meip = Input(Bool())
322}
323
324class CSRSpecialIO extends XSBundle {
325  val exception = Flipped(ValidIO(new MicroOp))
326  val isInterrupt = Input(Bool())
327  val memExceptionVAddr = Input(UInt(VAddrBits.W))
328  val trapTarget = Output(UInt(VAddrBits.W))
329  val externalInterrupt = new ExternalInterruptIO
330  val interrupt = Output(Bool())
331}
332
333class RoqCommitInfo extends XSBundle {
334  val ldest = UInt(5.W)
335  val rfWen = Bool()
336  val fpWen = Bool()
337  val wflags = Bool()
338  val commitType = CommitType()
339  val pdest = UInt(PhyRegIdxWidth.W)
340  val old_pdest = UInt(PhyRegIdxWidth.W)
341  val lqIdx = new LqPtr
342  val sqIdx = new SqPtr
343
344  // these should be optimized for synthesis verilog
345  val pc = UInt(VAddrBits.W)
346}
347
348class RoqCommitIO extends XSBundle {
349  val isWalk = Output(Bool())
350  val valid = Vec(CommitWidth, Output(Bool()))
351  val info = Vec(CommitWidth, Output(new RoqCommitInfo))
352
353  def hasWalkInstr = isWalk && valid.asUInt.orR
354  def hasCommitInstr = !isWalk && valid.asUInt.orR
355}
356
357class TlbFeedback extends XSBundle {
358  val roqIdx = new RoqPtr
359  val hit = Bool()
360}
361
362class FrontendToBackendIO extends XSBundle {
363  // to backend end
364  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
365  // from backend
366  val redirect = Flipped(ValidIO(UInt(VAddrBits.W)))
367  // val cfiUpdateInfo = Flipped(ValidIO(new CfiUpdateInfo))
368  val cfiUpdateInfo = Flipped(ValidIO(new CfiUpdateInfo))
369}
370
371class TlbCsrBundle extends XSBundle {
372  val satp = new Bundle {
373    val mode = UInt(4.W) // TODO: may change number to parameter
374    val asid = UInt(16.W)
375    val ppn  = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
376  }
377  val priv = new Bundle {
378    val mxr = Bool()
379    val sum = Bool()
380    val imode = UInt(2.W)
381    val dmode = UInt(2.W)
382  }
383
384  override def toPrintable: Printable = {
385    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
386    p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
387  }
388}
389
390class SfenceBundle extends XSBundle {
391  val valid = Bool()
392  val bits = new Bundle {
393    val rs1 = Bool()
394    val rs2 = Bool()
395    val addr = UInt(VAddrBits.W)
396  }
397
398  override def toPrintable: Printable = {
399    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
400  }
401}
402