xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 066ac8a465b27b54ba22458ff1a67bcd28215d73)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan
18
19import chisel3._
20import chisel3.util._
21import xiangshan.backend.rob.RobPtr
22import xiangshan.backend.CtrlToFtqIO
23import xiangshan.backend.decode.{ImmUnion, XDecode}
24import xiangshan.mem.{LqPtr, SqPtr}
25import xiangshan.frontend.PreDecodeInfo
26import xiangshan.frontend.HasBPUParameter
27import xiangshan.frontend.GlobalHistory
28import xiangshan.frontend.RASEntry
29import xiangshan.frontend.BPUCtrl
30import xiangshan.frontend.FtqPtr
31import xiangshan.frontend.FtqRead
32import xiangshan.frontend.FtqToCtrlIO
33import utils._
34
35import scala.math.max
36import Chisel.experimental.chiselName
37import chipsalliance.rocketchip.config.Parameters
38import chisel3.util.BitPat.bitPatToUInt
39import xiangshan.backend.fu.PMPEntry
40import xiangshan.frontend.Ftq_Redirect_SRAMEntry
41
42class ValidUndirectioned[T <: Data](gen: T) extends Bundle {
43  val valid = Bool()
44  val bits = gen.cloneType.asInstanceOf[T]
45
46  override def cloneType = new ValidUndirectioned(gen).asInstanceOf[this.type]
47}
48
49object ValidUndirectioned {
50  def apply[T <: Data](gen: T) = {
51    new ValidUndirectioned[T](gen)
52  }
53}
54
55object RSFeedbackType {
56  val tlbMiss = 0.U(3.W)
57  val mshrFull = 1.U(3.W)
58  val dataInvalid = 2.U(3.W)
59  val bankConflict = 3.U(3.W)
60  val ldVioCheckRedo = 4.U(3.W)
61
62  def apply() = UInt(3.W)
63}
64
65class PredictorAnswer(implicit p: Parameters) extends XSBundle {
66  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
67  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
68  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
69}
70
71class CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
72  // from backend
73  val pc = UInt(VAddrBits.W)
74  // frontend -> backend -> frontend
75  val pd = new PreDecodeInfo
76  val rasSp = UInt(log2Up(RasSize).W)
77  val rasEntry = new RASEntry
78  val hist = new GlobalHistory
79  val phist = UInt(PathHistoryLength.W)
80  val specCnt = Vec(numBr, UInt(10.W))
81  val phNewBit = Bool()
82  // need pipeline update
83  val br_hit = Bool()
84  val predTaken = Bool()
85  val target = UInt(VAddrBits.W)
86  val taken = Bool()
87  val isMisPred = Bool()
88  val shift = UInt((log2Ceil(numBr)+1).W)
89  val addIntoHist = Bool()
90
91  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
92    this.hist := entry.ghist
93    this.phist := entry.phist
94    this.phNewBit := entry.phNewBit
95    this.rasSp := entry.rasSp
96    this.rasEntry := entry.rasEntry
97    this.specCnt := entry.specCnt
98    this
99  }
100}
101
102// Dequeue DecodeWidth insts from Ibuffer
103class CtrlFlow(implicit p: Parameters) extends XSBundle {
104  val instr = UInt(32.W)
105  val pc = UInt(VAddrBits.W)
106  val foldpc = UInt(MemPredPCWidth.W)
107  val exceptionVec = ExceptionVec()
108  val intrVec = Vec(12, Bool())
109  val pd = new PreDecodeInfo
110  val pred_taken = Bool()
111  val crossPageIPFFix = Bool()
112  val storeSetHit = Bool() // inst has been allocated an store set
113  val waitForSqIdx = new SqPtr // store set predicted previous store sqIdx
114  // Load wait is needed
115  // load inst will not be executed until former store (predicted by mdp) addr calcuated
116  val loadWaitBit = Bool()
117  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
118  // load inst will not be executed until ALL former store addr calcuated
119  val loadWaitStrict = Bool()
120  val ssid = UInt(SSIDWidth.W)
121  val ftqPtr = new FtqPtr
122  val ftqOffset = UInt(log2Up(PredictWidth).W)
123  // This inst will flush all the pipe when it is the oldest inst in ROB,
124  // then replay from this inst itself
125  val replayInst = Bool()
126}
127
128class FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
129  val isAddSub = Bool() // swap23
130  val typeTagIn = UInt(1.W)
131  val typeTagOut = UInt(1.W)
132  val fromInt = Bool()
133  val wflags = Bool()
134  val fpWen = Bool()
135  val fmaCmd = UInt(2.W)
136  val div = Bool()
137  val sqrt = Bool()
138  val fcvt = Bool()
139  val typ = UInt(2.W)
140  val fmt = UInt(2.W)
141  val ren3 = Bool() //TODO: remove SrcType.fp
142  val rm = UInt(3.W)
143}
144
145// Decode DecodeWidth insts at Decode Stage
146class CtrlSignals(implicit p: Parameters) extends XSBundle {
147  val srcType = Vec(3, SrcType())
148  val lsrc = Vec(3, UInt(5.W))
149  val ldest = UInt(5.W)
150  val fuType = FuType()
151  val fuOpType = FuOpType()
152  val rfWen = Bool()
153  val fpWen = Bool()
154  val isXSTrap = Bool()
155  val noSpecExec = Bool() // wait forward
156  val blockBackward = Bool() // block backward
157  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
158  val isRVF = Bool()
159  val selImm = SelImm()
160  val imm = UInt(ImmUnion.maxLen.W)
161  val commitType = CommitType()
162  val fpu = new FPUCtrlSignals
163  val isMove = Bool()
164  val singleStep = Bool()
165  // This inst will flush all the pipe when it is the oldest inst in ROB,
166  // then replay from this inst itself
167  val replayInst = Bool()
168
169  private def allSignals = srcType ++ Seq(fuType, fuOpType, rfWen, fpWen,
170    isXSTrap, noSpecExec, blockBackward, flushPipe, isRVF, selImm)
171
172  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
173    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table)
174    allSignals zip decoder foreach { case (s, d) => s := d }
175    commitType := DontCare
176    this
177  }
178
179  def decode(bit: List[BitPat]): CtrlSignals = {
180    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
181    this
182  }
183}
184
185class CfCtrl(implicit p: Parameters) extends XSBundle {
186  val cf = new CtrlFlow
187  val ctrl = new CtrlSignals
188}
189
190class PerfDebugInfo(implicit p: Parameters) extends XSBundle {
191  val eliminatedMove = Bool()
192  // val fetchTime = UInt(64.W)
193  val renameTime = UInt(XLEN.W)
194  val dispatchTime = UInt(XLEN.W)
195  val enqRsTime = UInt(XLEN.W)
196  val selectTime = UInt(XLEN.W)
197  val issueTime = UInt(XLEN.W)
198  val writebackTime = UInt(XLEN.W)
199  // val commitTime = UInt(64.W)
200  val runahead_checkpoint_id = UInt(64.W)
201}
202
203// Separate LSQ
204class LSIdx(implicit p: Parameters) extends XSBundle {
205  val lqIdx = new LqPtr
206  val sqIdx = new SqPtr
207}
208
209// CfCtrl -> MicroOp at Rename Stage
210class MicroOp(implicit p: Parameters) extends CfCtrl {
211  val srcState = Vec(3, SrcState())
212  val psrc = Vec(3, UInt(PhyRegIdxWidth.W))
213  val pdest = UInt(PhyRegIdxWidth.W)
214  val old_pdest = UInt(PhyRegIdxWidth.W)
215  val robIdx = new RobPtr
216  val lqIdx = new LqPtr
217  val sqIdx = new SqPtr
218  val diffTestDebugLrScValid = Bool()
219  val eliminatedMove = Bool()
220  val debugInfo = new PerfDebugInfo
221  def needRfRPort(index: Int, rfType: Int, ignoreState: Boolean = true) : Bool = {
222    (index, rfType) match {
223      case (0, 0) => ctrl.srcType(0) === SrcType.reg && ctrl.lsrc(0) =/= 0.U && (srcState(0) === SrcState.rdy || ignoreState.B)
224      case (1, 0) => ctrl.srcType(1) === SrcType.reg && ctrl.lsrc(1) =/= 0.U && (srcState(1) === SrcState.rdy || ignoreState.B)
225      case (0, 1) => ctrl.srcType(0) === SrcType.fp && (srcState(0) === SrcState.rdy || ignoreState.B)
226      case (1, 1) => ctrl.srcType(1) === SrcType.fp && (srcState(1) === SrcState.rdy || ignoreState.B)
227      case (2, 1) => ctrl.srcType(2) === SrcType.fp && (srcState(2) === SrcState.rdy || ignoreState.B)
228      case _ => false.B
229    }
230  }
231  def srcIsReady: Vec[Bool] = {
232    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
233  }
234  def doWriteIntRf: Bool = ctrl.rfWen && ctrl.ldest =/= 0.U
235  def doWriteFpRf: Bool = ctrl.fpWen
236  def clearExceptions(): MicroOp = {
237    cf.exceptionVec.map(_ := false.B)
238    ctrl.replayInst := false.B
239    ctrl.flushPipe := false.B
240    this
241  }
242}
243
244class MicroOpRbExt(implicit p: Parameters) extends XSBundle {
245  val uop = new MicroOp
246  val flag = UInt(1.W)
247}
248
249class Redirect(implicit p: Parameters) extends XSBundle {
250  val robIdx = new RobPtr
251  val ftqIdx = new FtqPtr
252  val ftqOffset = UInt(log2Up(PredictWidth).W)
253  val level = RedirectLevel()
254  val interrupt = Bool()
255  val cfiUpdate = new CfiUpdateInfo
256
257  val stFtqIdx = new FtqPtr // for load violation predict
258  val stFtqOffset = UInt(log2Up(PredictWidth).W)
259
260  val debug_runahead_checkpoint_id = UInt(64.W)
261
262  // def isUnconditional() = RedirectLevel.isUnconditional(level)
263  def flushItself() = RedirectLevel.flushItself(level)
264  // def isException() = RedirectLevel.isException(level)
265}
266
267class Dp1ToDp2IO(implicit p: Parameters) extends XSBundle {
268  val intDqToDp2 = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp))
269  val fpDqToDp2 = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new MicroOp))
270  val lsDqToDp2 = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new MicroOp))
271}
272
273class ResetPregStateReq(implicit p: Parameters) extends XSBundle {
274  // NOTE: set isInt and isFp both to 'false' when invalid
275  val isInt = Bool()
276  val isFp = Bool()
277  val preg = UInt(PhyRegIdxWidth.W)
278}
279
280class DebugBundle(implicit p: Parameters) extends XSBundle {
281  val isMMIO = Bool()
282  val isPerfCnt = Bool()
283  val paddr = UInt(PAddrBits.W)
284}
285
286class ExuInput(implicit p: Parameters) extends XSBundle {
287  val uop = new MicroOp
288  val src = Vec(3, UInt(XLEN.W))
289}
290
291class ExuOutput(implicit p: Parameters) extends XSBundle {
292  val uop = new MicroOp
293  val data = UInt(XLEN.W)
294  val fflags = UInt(5.W)
295  val redirectValid = Bool()
296  val redirect = new Redirect
297  val debug = new DebugBundle
298}
299
300class ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
301  val mtip = Input(Bool())
302  val msip = Input(Bool())
303  val meip = Input(Bool())
304  val seip = Input(Bool())
305  val debug = Input(Bool())
306}
307
308class CSRSpecialIO(implicit p: Parameters) extends XSBundle {
309  val exception = Flipped(ValidIO(new MicroOp))
310  val isInterrupt = Input(Bool())
311  val memExceptionVAddr = Input(UInt(VAddrBits.W))
312  val trapTarget = Output(UInt(VAddrBits.W))
313  val externalInterrupt = new ExternalInterruptIO
314  val interrupt = Output(Bool())
315}
316
317class ExceptionInfo(implicit p: Parameters) extends XSBundle {
318  val uop = new MicroOp
319  val isInterrupt = Bool()
320}
321
322class RobCommitInfo(implicit p: Parameters) extends XSBundle {
323  val ldest = UInt(5.W)
324  val rfWen = Bool()
325  val fpWen = Bool()
326  val wflags = Bool()
327  val commitType = CommitType()
328  val pdest = UInt(PhyRegIdxWidth.W)
329  val old_pdest = UInt(PhyRegIdxWidth.W)
330  val ftqIdx = new FtqPtr
331  val ftqOffset = UInt(log2Up(PredictWidth).W)
332
333  // these should be optimized for synthesis verilog
334  val pc = UInt(VAddrBits.W)
335}
336
337class RobCommitIO(implicit p: Parameters) extends XSBundle {
338  val isWalk = Output(Bool())
339  val valid = Vec(CommitWidth, Output(Bool()))
340  val info = Vec(CommitWidth, Output(new RobCommitInfo))
341
342  def hasWalkInstr = isWalk && valid.asUInt.orR
343
344  def hasCommitInstr = !isWalk && valid.asUInt.orR
345}
346
347class RSFeedback(implicit p: Parameters) extends XSBundle {
348  val rsIdx = UInt(log2Up(IssQueSize).W)
349  val hit = Bool()
350  val flushState = Bool()
351  val sourceType = RSFeedbackType()
352  val dataInvalidSqIdx = new SqPtr
353}
354
355class MemRSFeedbackIO(implicit p: Parameters) extends XSBundle {
356  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
357  // for instance: MemRSFeedbackIO()(updateP)
358  val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss
359  val feedbackFast = ValidIO(new RSFeedback()) // bank conflict
360  val rsIdx = Input(UInt(log2Up(IssQueSize).W))
361  val isFirstIssue = Input(Bool())
362}
363
364class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
365  // to backend end
366  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
367  val fromFtq = new FtqToCtrlIO
368  // from backend
369  val toFtq = Flipped(new CtrlToFtqIO)
370}
371
372class SatpStruct extends Bundle {
373  val mode = UInt(4.W)
374  val asid = UInt(16.W)
375  val ppn  = UInt(44.W)
376}
377
378class TlbCsrBundle(implicit p: Parameters) extends XSBundle {
379  val satp = new Bundle {
380    val changed = Bool()
381    val mode = UInt(4.W) // TODO: may change number to parameter
382    val asid = UInt(16.W)
383    val ppn = UInt(44.W) // just use PAddrBits - 3 - vpnnLen
384
385    def apply(satp_value: UInt): Unit = {
386      require(satp_value.getWidth == XLEN)
387      val sa = satp_value.asTypeOf(new SatpStruct)
388      mode := sa.mode
389      asid := sa.asid
390      ppn := sa.ppn
391      changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
392    }
393  }
394  val priv = new Bundle {
395    val mxr = Bool()
396    val sum = Bool()
397    val imode = UInt(2.W)
398    val dmode = UInt(2.W)
399  }
400
401  override def toPrintable: Printable = {
402    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
403      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
404  }
405}
406
407class SfenceBundle(implicit p: Parameters) extends XSBundle {
408  val valid = Bool()
409  val bits = new Bundle {
410    val rs1 = Bool()
411    val rs2 = Bool()
412    val addr = UInt(VAddrBits.W)
413    val asid = UInt(AsidLength.W)
414  }
415
416  override def toPrintable: Printable = {
417    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}"
418  }
419}
420
421// Bundle for load violation predictor updating
422class MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
423  val valid = Bool()
424
425  // wait table update
426  val waddr = UInt(MemPredPCWidth.W)
427  val wdata = Bool() // true.B by default
428
429  // store set update
430  // by default, ldpc/stpc should be xor folded
431  val ldpc = UInt(MemPredPCWidth.W)
432  val stpc = UInt(MemPredPCWidth.W)
433}
434
435class CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
436  // Prefetcher
437  val l1plus_pf_enable = Output(Bool())
438  val l2_pf_enable = Output(Bool())
439  // Labeled XiangShan
440  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
441  // Load violation predictor
442  val lvpred_disable = Output(Bool())
443  val no_spec_load = Output(Bool())
444  val storeset_wait_store = Output(Bool())
445  val storeset_no_fast_wakeup = Output(Bool())
446  val lvpred_timeout = Output(UInt(5.W))
447  // Branch predictor
448  val bp_ctrl = Output(new BPUCtrl)
449  // Memory Block
450  val sbuffer_threshold = Output(UInt(4.W))
451  val ldld_vio_check = Output(Bool())
452  // Rename
453  val move_elim_enable = Output(Bool())
454  // Decode
455  val svinval_enable = Output(Bool())
456
457  // distribute csr write signal
458  val distribute_csr = new DistributedCSRIO()
459}
460
461class DistributedCSRIO(implicit p: Parameters) extends XSBundle {
462  // CSR has been writen by csr inst, copies of csr should be updated
463  val w = ValidIO(new Bundle {
464    val addr = Output(UInt(12.W))
465    val data = Output(UInt(XLEN.W))
466  })
467}
468
469class DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
470  // Request csr to be updated
471  //
472  // Note that this request will ONLY update CSR Module it self,
473  // copies of csr will NOT be updated, use it with care!
474  //
475  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
476  val w = ValidIO(new Bundle {
477    val addr = Output(UInt(12.W))
478    val data = Output(UInt(XLEN.W))
479  })
480  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
481    when(valid){
482      w.bits.addr := addr
483      w.bits.data := data
484    }
485    println("Distributed CSR update req registered for " + src_description)
486  }
487}