xref: /XiangShan/src/main/scala/xiangshan/Bundle.scala (revision 2451989835a019d3c4848f7879147f7649adb760)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
171e3fad10SLinJiaweipackage xiangshan
181e3fad10SLinJiawei
193b739f49SXuan Huimport chipsalliance.rocketchip.config.Parameters
201e3fad10SLinJiaweiimport chisel3._
213b739f49SXuan Huimport chisel3.util.BitPat.bitPatToUInt
225844fcf0SLinJiaweiimport chisel3.util._
233b739f49SXuan Huimport utility._
243b739f49SXuan Huimport utils._
25de169c67SWilliam Wangimport xiangshan.backend.decode.{ImmUnion, XDecode}
26730cfbc0SXuan Huimport xiangshan.backend.fu.FuType
273b739f49SXuan Huimport xiangshan.backend.rob.RobPtr
283b739f49SXuan Huimport xiangshan.frontend._
295c1ae31bSYinan Xuimport xiangshan.mem.{LqPtr, SqPtr}
30730cfbc0SXuan Huimport xiangshan.backend.Bundles.DynInst
31b52d4755SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType
3266b0d0c3Szhanglinjuanimport xiangshan.frontend.PreDecodeInfo
33f00290d7SLingrui98import xiangshan.frontend.HasBPUParameter
34bcce877bSYinan Xuimport xiangshan.frontend.{AllFoldedHistories, CircularGlobalHistory, GlobalHistory, ShiftingGlobalHistory}
357447ee13SLingrui98import xiangshan.frontend.RASEntry
362b8b2e7aSWilliam Wangimport xiangshan.frontend.BPUCtrl
37e0d9a9f0SLingrui98import xiangshan.frontend.FtqPtr
38c2ad24ebSLingrui98import xiangshan.frontend.CGHPtr
39e0d9a9f0SLingrui98import xiangshan.frontend.FtqRead
40f06ca0bfSLingrui98import xiangshan.frontend.FtqToCtrlIO
41b0ae3ac4SLinJiawei
422fbdb79bSLingrui98import scala.math.max
43d471c5aeSLingrui98import Chisel.experimental.chiselName
442225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
4588825c5cSYinan Xuimport chisel3.util.BitPat.bitPatToUInt
467720a376Sfdyimport chisel3.util.experimental.decode.EspressoMinimizer
47*24519898SXuan Huimport xiangshan.backend.CtrlToFtqIO
48b6982e83SLemoverimport xiangshan.backend.fu.PMPEntry
4914a6653fSLingrui98import xiangshan.frontend.Ftq_Redirect_SRAMEntry
50dd6c0695SLingrui98import xiangshan.frontend.AllFoldedHistories
5167402d75SLingrui98import xiangshan.frontend.AllAheadFoldedHistoryOldestBits
521e3fad10SLinJiawei
53627c0a19Szhanglinjuanclass ValidUndirectioned[T <: Data](gen: T) extends Bundle {
543803411bSzhanglinjuan  val valid = Bool()
5535fe60e8SLingrui98  val bits = gen.cloneType.asInstanceOf[T]
56fe211d16SLinJiawei
573803411bSzhanglinjuan}
583803411bSzhanglinjuan
59627c0a19Szhanglinjuanobject ValidUndirectioned {
60627c0a19Szhanglinjuan  def apply[T <: Data](gen: T) = {
61627c0a19Szhanglinjuan    new ValidUndirectioned[T](gen)
623803411bSzhanglinjuan  }
633803411bSzhanglinjuan}
643803411bSzhanglinjuan
651b7adedcSWilliam Wangobject RSFeedbackType {
6668d13085SXuan Hu  val lrqFull         = 0.U(4.W)
6768d13085SXuan Hu  val tlbMiss         = 1.U(4.W)
6868d13085SXuan Hu  val mshrFull        = 2.U(4.W)
6968d13085SXuan Hu  val dataInvalid     = 3.U(4.W)
7068d13085SXuan Hu  val bankConflict    = 4.U(4.W)
7168d13085SXuan Hu  val ldVioCheckRedo  = 5.U(4.W)
72cee61068Sfdy  val feedbackInvalid = 7.U(4.W)
73cee61068Sfdy  val issueSuccess    = 8.U(4.W)
74ea0f92d8Sczw  val rfArbitFail     = 9.U(4.W)
75ea0f92d8Sczw  val fuIdle          = 10.U(4.W)
76ea0f92d8Sczw  val fuBusy          = 11.U(4.W)
77d54d930bSfdy  val fuUncertain     = 12.U(4.W)
78eb163ef0SHaojin Tang
7968d13085SXuan Hu  val allTypes = 16
80cee61068Sfdy  def apply() = UInt(4.W)
8161d88ec2SXuan Hu
8261d88ec2SXuan Hu  def isStageSuccess(feedbackType: UInt) = {
83cee61068Sfdy    feedbackType === issueSuccess
8461d88ec2SXuan Hu  }
85965c972cSXuan Hu
86965c972cSXuan Hu  def isBlocked(feedbackType: UInt) = {
87b536da76SXuan Hu    feedbackType === rfArbitFail || feedbackType === fuBusy || feedbackType >= lrqFull && feedbackType <= feedbackInvalid
88965c972cSXuan Hu  }
891b7adedcSWilliam Wang}
901b7adedcSWilliam Wang
912225d46eSJiawei Linclass PredictorAnswer(implicit p: Parameters) extends XSBundle {
92097c2688SLingrui98  val hit    = if (!env.FPGAPlatform) Bool() else UInt(0.W)
93097c2688SLingrui98  val taken  = if (!env.FPGAPlatform) Bool() else UInt(0.W)
94097c2688SLingrui98  val target = if (!env.FPGAPlatform) UInt(VAddrBits.W) else UInt(0.W)
9551b2a476Szoujr}
9651b2a476Szoujr
972225d46eSJiawei Linclass CfiUpdateInfo(implicit p: Parameters) extends XSBundle with HasBPUParameter {
98f226232fSzhanglinjuan  // from backend
9969cafcc9SLingrui98  val pc = UInt(VAddrBits.W)
100f226232fSzhanglinjuan  // frontend -> backend -> frontend
101f226232fSzhanglinjuan  val pd = new PreDecodeInfo
1028a5e9243SLinJiawei  val rasSp = UInt(log2Up(RasSize).W)
1032e947747SLinJiawei  val rasEntry = new RASEntry
104c2ad24ebSLingrui98  // val hist = new ShiftingGlobalHistory
105dd6c0695SLingrui98  val folded_hist = new AllFoldedHistories(foldedGHistInfos)
10667402d75SLingrui98  val afhob = new AllAheadFoldedHistoryOldestBits(foldedGHistInfos)
10767402d75SLingrui98  val lastBrNumOH = UInt((numBr+1).W)
108b37e4b45SLingrui98  val ghr = UInt(UbtbGHRLength.W)
109c2ad24ebSLingrui98  val histPtr = new CGHPtr
110e7b046c5Szoujr  val specCnt = Vec(numBr, UInt(10.W))
111fe3a74fcSYinan Xu  // need pipeline update
1128a597714Szoujr  val br_hit = Bool()
1132e947747SLinJiawei  val predTaken = Bool()
114b2e6921eSLinJiawei  val target = UInt(VAddrBits.W)
1159a2e6b8aSLinJiawei  val taken = Bool()
116b2e6921eSLinJiawei  val isMisPred = Bool()
117d0527adfSzoujr  val shift = UInt((log2Ceil(numBr)+1).W)
118d0527adfSzoujr  val addIntoHist = Bool()
11914a6653fSLingrui98
12014a6653fSLingrui98  def fromFtqRedirectSram(entry: Ftq_Redirect_SRAMEntry) = {
121c2ad24ebSLingrui98    // this.hist := entry.ghist
122dd6c0695SLingrui98    this.folded_hist := entry.folded_hist
12367402d75SLingrui98    this.lastBrNumOH := entry.lastBrNumOH
12467402d75SLingrui98    this.afhob := entry.afhob
125c2ad24ebSLingrui98    this.histPtr := entry.histPtr
12614a6653fSLingrui98    this.rasSp := entry.rasSp
127c2d1ec7dSLingrui98    this.rasEntry := entry.rasTop
12814a6653fSLingrui98    this
12914a6653fSLingrui98  }
130b2e6921eSLinJiawei}
131b2e6921eSLinJiawei
1325844fcf0SLinJiawei// Dequeue DecodeWidth insts from Ibuffer
133de169c67SWilliam Wangclass CtrlFlow(implicit p: Parameters) extends XSBundle {
1345844fcf0SLinJiawei  val instr = UInt(32.W)
1355844fcf0SLinJiawei  val pc = UInt(VAddrBits.W)
136de169c67SWilliam Wang  val foldpc = UInt(MemPredPCWidth.W)
137baf8def6SYinan Xu  val exceptionVec = ExceptionVec()
13872951335SLi Qianruo  val trigger = new TriggerCf
139faf3cfa9SLinJiawei  val pd = new PreDecodeInfo
140cde9280dSLinJiawei  val pred_taken = Bool()
141c84054caSLinJiawei  val crossPageIPFFix = Bool()
142de169c67SWilliam Wang  val storeSetHit = Bool() // inst has been allocated an store set
143980c1bc3SWilliam Wang  val waitForRobIdx = new RobPtr // store set predicted previous store robIdx
144d1fe0262SWilliam Wang  // Load wait is needed
145d1fe0262SWilliam Wang  // load inst will not be executed until former store (predicted by mdp) addr calcuated
146d1fe0262SWilliam Wang  val loadWaitBit = Bool()
147d1fe0262SWilliam Wang  // If (loadWaitBit && loadWaitStrict), strict load wait is needed
148d1fe0262SWilliam Wang  // load inst will not be executed until ALL former store addr calcuated
149d1fe0262SWilliam Wang  val loadWaitStrict = Bool()
150de169c67SWilliam Wang  val ssid = UInt(SSIDWidth.W)
151884dbb3bSLinJiawei  val ftqPtr = new FtqPtr
152884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
1535844fcf0SLinJiawei}
1545844fcf0SLinJiawei
15572951335SLi Qianruo
1562225d46eSJiawei Linclass FPUCtrlSignals(implicit p: Parameters) extends XSBundle {
1572ce29ed6SLinJiawei  val isAddSub = Bool() // swap23
158dc597826SJiawei Lin  val typeTagIn = UInt(1.W)
159dc597826SJiawei Lin  val typeTagOut = UInt(1.W)
1602ce29ed6SLinJiawei  val fromInt = Bool()
1612ce29ed6SLinJiawei  val wflags = Bool()
1622ce29ed6SLinJiawei  val fpWen = Bool()
1632ce29ed6SLinJiawei  val fmaCmd = UInt(2.W)
1642ce29ed6SLinJiawei  val div = Bool()
1652ce29ed6SLinJiawei  val sqrt = Bool()
1662ce29ed6SLinJiawei  val fcvt = Bool()
1672ce29ed6SLinJiawei  val typ = UInt(2.W)
1682ce29ed6SLinJiawei  val fmt = UInt(2.W)
1692ce29ed6SLinJiawei  val ren3 = Bool() //TODO: remove SrcType.fp
170e6c6b64fSLinJiawei  val rm = UInt(3.W)
171579b9f28SLinJiawei}
172579b9f28SLinJiawei
1735844fcf0SLinJiawei// Decode DecodeWidth insts at Decode Stage
1742225d46eSJiawei Linclass CtrlSignals(implicit p: Parameters) extends XSBundle {
1758744445eSMaxpicca-Li  val debug_globalID = UInt(XLEN.W)
176a7a8a6ccSHaojin Tang  val srcType = Vec(4, SrcType())
177a7a8a6ccSHaojin Tang  val lsrc = Vec(4, UInt(6.W))
178a7a8a6ccSHaojin Tang  val ldest = UInt(6.W)
1799a2e6b8aSLinJiawei  val fuType = FuType()
1809a2e6b8aSLinJiawei  val fuOpType = FuOpType()
1819a2e6b8aSLinJiawei  val rfWen = Bool()
1829a2e6b8aSLinJiawei  val fpWen = Bool()
183deb6421eSHaojin Tang  val vecWen = Bool()
1849a2e6b8aSLinJiawei  val isXSTrap = Bool()
1852d366136SLinJiawei  val noSpecExec = Bool() // wait forward
1862d366136SLinJiawei  val blockBackward = Bool() // block backward
18745a56a29SZhangZifei  val flushPipe = Bool() // This inst will flush all the pipe when commit, like exception but can commit
188e2695e90SzhanglyGit  val uopSplitType = UopSplitType()
189c2a8ae00SYikeZhou  val selImm = SelImm()
190b0ae3ac4SLinJiawei  val imm = UInt(ImmUnion.maxLen.W)
191a3edac52SYinan Xu  val commitType = CommitType()
192579b9f28SLinJiawei  val fpu = new FPUCtrlSignals
1934aa9ed34Sfdy  val uopIdx = UInt(5.W)
194aac4464eSYinan Xu  val isMove = Bool()
1951a0debc2Sczw  val vm = Bool()
196d4aca96cSlqre  val singleStep = Bool()
197c88c3a2aSYinan Xu  // This inst will flush all the pipe when it is the oldest inst in ROB,
198c88c3a2aSYinan Xu  // then replay from this inst itself
199c88c3a2aSYinan Xu  val replayInst = Bool()
200be25371aSYikeZhou
20157a10886SXuan Hu  private def allSignals = srcType.take(3) ++ Seq(fuType, fuOpType, rfWen, fpWen, vecWen,
202e2695e90SzhanglyGit    isXSTrap, noSpecExec, blockBackward, flushPipe, uopSplitType, selImm)
20388825c5cSYinan Xu
20488825c5cSYinan Xu  def decode(inst: UInt, table: Iterable[(BitPat, List[BitPat])]): CtrlSignals = {
2057720a376Sfdy    val decoder = freechips.rocketchip.rocket.DecodeLogic(inst, XDecode.decodeDefault, table, EspressoMinimizer)
20688825c5cSYinan Xu    allSignals zip decoder foreach { case (s, d) => s := d }
2074d24c305SYikeZhou    commitType := DontCare
208be25371aSYikeZhou    this
209be25371aSYikeZhou  }
21088825c5cSYinan Xu
21188825c5cSYinan Xu  def decode(bit: List[BitPat]): CtrlSignals = {
21288825c5cSYinan Xu    allSignals.zip(bit.map(bitPatToUInt(_))).foreach{ case (s, d) => s := d }
21388825c5cSYinan Xu    this
21488825c5cSYinan Xu  }
215b6900d94SYinan Xu
2163b739f49SXuan Hu  def isWFI: Bool = fuType === FuType.csr.U && fuOpType === CSROpType.wfi
217f025d715SYinan Xu  def isSoftPrefetch: Bool = {
2183b739f49SXuan Hu    fuType === FuType.alu.U && fuOpType === ALUOpType.or && selImm === SelImm.IMM_I && ldest === 0.U
219f025d715SYinan Xu  }
2203d1a5c10Smaliao  def needWriteRf: Bool = (rfWen && ldest =/= 0.U) || fpWen || vecWen
2215844fcf0SLinJiawei}
2225844fcf0SLinJiawei
2232225d46eSJiawei Linclass CfCtrl(implicit p: Parameters) extends XSBundle {
2245844fcf0SLinJiawei  val cf = new CtrlFlow
2255844fcf0SLinJiawei  val ctrl = new CtrlSignals
2265844fcf0SLinJiawei}
2275844fcf0SLinJiawei
2282225d46eSJiawei Linclass PerfDebugInfo(implicit p: Parameters) extends XSBundle {
2298b8e745dSYikeZhou  val eliminatedMove = Bool()
2308744445eSMaxpicca-Li  // val fetchTime = UInt(XLEN.W)
231ebb8ebf8SYinan Xu  val renameTime = UInt(XLEN.W)
232ebb8ebf8SYinan Xu  val dispatchTime = UInt(XLEN.W)
233ebb8ebf8SYinan Xu  val enqRsTime = UInt(XLEN.W)
234ebb8ebf8SYinan Xu  val selectTime = UInt(XLEN.W)
235ebb8ebf8SYinan Xu  val issueTime = UInt(XLEN.W)
236ebb8ebf8SYinan Xu  val writebackTime = UInt(XLEN.W)
2378744445eSMaxpicca-Li  // val commitTime = UInt(XLEN.W)
2388744445eSMaxpicca-Li  val runahead_checkpoint_id = UInt(XLEN.W)
2398744445eSMaxpicca-Li  val tlbFirstReqTime = UInt(XLEN.W)
2408744445eSMaxpicca-Li  val tlbRespTime = UInt(XLEN.W) // when getting hit result (including delay in L2TLB hit)
241ba4100caSYinan Xu}
242ba4100caSYinan Xu
24348d1472eSWilliam Wang// Separate LSQ
2442225d46eSJiawei Linclass LSIdx(implicit p: Parameters) extends XSBundle {
245915c0dd4SYinan Xu  val lqIdx = new LqPtr
2465c1ae31bSYinan Xu  val sqIdx = new SqPtr
24724726fbfSWilliam Wang}
24824726fbfSWilliam Wang
249b2e6921eSLinJiawei// CfCtrl -> MicroOp at Rename Stage
2502225d46eSJiawei Linclass MicroOp(implicit p: Parameters) extends CfCtrl {
251a7a8a6ccSHaojin Tang  val srcState = Vec(4, SrcState())
252a7a8a6ccSHaojin Tang  val psrc = Vec(4, UInt(PhyRegIdxWidth.W))
25320e31bd1SYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
25420e31bd1SYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
2559aca92b9SYinan Xu  val robIdx = new RobPtr
256fe6452fcSYinan Xu  val lqIdx = new LqPtr
257fe6452fcSYinan Xu  val sqIdx = new SqPtr
2588b8e745dSYikeZhou  val eliminatedMove = Bool()
2597cef916fSYinan Xu  val debugInfo = new PerfDebugInfo
2609d4e1137SYinan Xu  def needRfRPort(index: Int, isFp: Boolean, ignoreState: Boolean = true) : Bool = {
261bcce877bSYinan Xu    val stateReady = srcState(index) === SrcState.rdy || ignoreState.B
262bcce877bSYinan Xu    val readReg = if (isFp) {
263bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.fp
264bcce877bSYinan Xu    } else {
265bcce877bSYinan Xu      ctrl.srcType(index) === SrcType.reg && ctrl.lsrc(index) =/= 0.U
266a338f247SYinan Xu    }
267bcce877bSYinan Xu    readReg && stateReady
268a338f247SYinan Xu  }
2695c7674feSYinan Xu  def srcIsReady: Vec[Bool] = {
270c9ebdf90SYinan Xu    VecInit(ctrl.srcType.zip(srcState).map{ case (t, s) => SrcType.isPcOrImm(t) || s === SrcState.rdy })
2715c7674feSYinan Xu  }
2726ab6918fSYinan Xu  def clearExceptions(
2736ab6918fSYinan Xu    exceptionBits: Seq[Int] = Seq(),
2746ab6918fSYinan Xu    flushPipe: Boolean = false,
2756ab6918fSYinan Xu    replayInst: Boolean = false
2766ab6918fSYinan Xu  ): MicroOp = {
2776ab6918fSYinan Xu    cf.exceptionVec.zipWithIndex.filterNot(x => exceptionBits.contains(x._2)).foreach(_._1 := false.B)
2786ab6918fSYinan Xu    if (!flushPipe) { ctrl.flushPipe := false.B }
2796ab6918fSYinan Xu    if (!replayInst) { ctrl.replayInst := false.B }
280c88c3a2aSYinan Xu    this
281c88c3a2aSYinan Xu  }
2825844fcf0SLinJiawei}
2835844fcf0SLinJiawei
28446f74b57SHaojin Tangclass XSBundleWithMicroOp(implicit p: Parameters) extends XSBundle {
285dfb4c5dcSXuan Hu  val uop = new DynInst
28646f74b57SHaojin Tang}
28746f74b57SHaojin Tang
28846f74b57SHaojin Tangclass MicroOpRbExt(implicit p: Parameters) extends XSBundleWithMicroOp {
289de169c67SWilliam Wang  val flag = UInt(1.W)
2901e3fad10SLinJiawei}
291de169c67SWilliam Wang
2922225d46eSJiawei Linclass Redirect(implicit p: Parameters) extends XSBundle {
2939aca92b9SYinan Xu  val robIdx = new RobPtr
29436d7aed5SLinJiawei  val ftqIdx = new FtqPtr
29536d7aed5SLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
296bfb958a3SYinan Xu  val level = RedirectLevel()
297bfb958a3SYinan Xu  val interrupt = Bool()
298c778d2afSLinJiawei  val cfiUpdate = new CfiUpdateInfo
299bfb958a3SYinan Xu
300de169c67SWilliam Wang  val stFtqIdx = new FtqPtr // for load violation predict
301de169c67SWilliam Wang  val stFtqOffset = UInt(log2Up(PredictWidth).W)
302fe211d16SLinJiawei
30320edb3f7SWilliam Wang  val debug_runahead_checkpoint_id = UInt(64.W)
30420edb3f7SWilliam Wang
305bfb958a3SYinan Xu  def flushItself() = RedirectLevel.flushItself(level)
306a25b1bceSLinJiawei}
307a25b1bceSLinJiawei
3082b4e8253SYinan Xuclass ResetPregStateReq(implicit p: Parameters) extends XSBundle {
30960deaca2SLinJiawei  // NOTE: set isInt and isFp both to 'false' when invalid
31060deaca2SLinJiawei  val isInt = Bool()
31160deaca2SLinJiawei  val isFp = Bool()
31260deaca2SLinJiawei  val preg = UInt(PhyRegIdxWidth.W)
3135844fcf0SLinJiawei}
3145844fcf0SLinJiawei
3152225d46eSJiawei Linclass DebugBundle(implicit p: Parameters) extends XSBundle {
31672235fa4SWilliam Wang  val isMMIO = Bool()
3178635f18fSwangkaifan  val isPerfCnt = Bool()
3188b91a337SWilliam Wang  val paddr = UInt(PAddrBits.W)
31972951335SLi Qianruo  val vaddr = UInt(VAddrBits.W)
3208744445eSMaxpicca-Li  /* add L/S inst info in EXU */
3218744445eSMaxpicca-Li  // val L1toL2TlbLatency = UInt(XLEN.W)
3228744445eSMaxpicca-Li  // val levelTlbHit = UInt(2.W)
323e402d94eSWilliam Wang}
3245844fcf0SLinJiawei
3252225d46eSJiawei Linclass ExternalInterruptIO(implicit p: Parameters) extends XSBundle {
32635bfeecbSYinan Xu  val mtip = Input(Bool())
32735bfeecbSYinan Xu  val msip = Input(Bool())
32835bfeecbSYinan Xu  val meip = Input(Bool())
329b3d79b37SYinan Xu  val seip = Input(Bool())
330d4aca96cSlqre  val debug = Input(Bool())
3315844fcf0SLinJiawei}
3325844fcf0SLinJiawei
3332225d46eSJiawei Linclass CSRSpecialIO(implicit p: Parameters) extends XSBundle {
3343b739f49SXuan Hu  val exception = Flipped(ValidIO(new DynInst))
3353fa7b737SYinan Xu  val isInterrupt = Input(Bool())
33635bfeecbSYinan Xu  val memExceptionVAddr = Input(UInt(VAddrBits.W))
33735bfeecbSYinan Xu  val trapTarget = Output(UInt(VAddrBits.W))
33835bfeecbSYinan Xu  val externalInterrupt = new ExternalInterruptIO
33935bfeecbSYinan Xu  val interrupt = Output(Bool())
34035bfeecbSYinan Xu}
34135bfeecbSYinan Xu
342a8db15d8Sfdyclass RabCommitInfo(implicit p: Parameters) extends XSBundle {
343a8db15d8Sfdy  val ldest = UInt(6.W)
344a8db15d8Sfdy  val pdest = UInt(PhyRegIdxWidth.W)
345a8db15d8Sfdy  val old_pdest = UInt(PhyRegIdxWidth.W)
346a8db15d8Sfdy  val rfWen = Bool()
347a8db15d8Sfdy  val fpWen = Bool()
348a8db15d8Sfdy  val vecWen = Bool()
349a8db15d8Sfdy  val isMove = Bool()
350a8db15d8Sfdy}
351a8db15d8Sfdy
352a8db15d8Sfdyclass RabCommitIO(implicit p: Parameters) extends XSBundle {
353a8db15d8Sfdy  val isCommit = Bool()
354a8db15d8Sfdy  val commitValid = Vec(CommitWidth, Bool())
355a8db15d8Sfdy  val isWalk = Bool()
356a8db15d8Sfdy  val walkValid = Vec(CommitWidth, Bool())
357a8db15d8Sfdy  val info = Vec(CommitWidth, new RabCommitInfo)
358a8db15d8Sfdy}
359a8db15d8Sfdy
360a8db15d8Sfdyclass DiffCommitIO(implicit p: Parameters) extends XSBundle {
361a8db15d8Sfdy  val isCommit = Bool()
362a8db15d8Sfdy  val commitValid = Vec(CommitWidth * MaxUopSize, Bool())
363a8db15d8Sfdy
364a8db15d8Sfdy  val info = Vec(CommitWidth * MaxUopSize, new RobCommitInfo)
365a8db15d8Sfdy}
366a8db15d8Sfdy
3679aca92b9SYinan Xuclass RobCommitInfo(implicit p: Parameters) extends XSBundle {
368a7a8a6ccSHaojin Tang  val ldest = UInt(6.W)
369fe6452fcSYinan Xu  val rfWen = Bool()
370fe6452fcSYinan Xu  val fpWen = Bool()
371deb6421eSHaojin Tang  val vecWen = Bool()
3720f038924SZhangZifei  def fpVecWen = fpWen || vecWen
373a1fd7de4SLinJiawei  val wflags = Bool()
374fe6452fcSYinan Xu  val commitType = CommitType()
375fe6452fcSYinan Xu  val pdest = UInt(PhyRegIdxWidth.W)
376fe6452fcSYinan Xu  val old_pdest = UInt(PhyRegIdxWidth.W)
377884dbb3bSLinJiawei  val ftqIdx = new FtqPtr
378884dbb3bSLinJiawei  val ftqOffset = UInt(log2Up(PredictWidth).W)
379ccfddc82SHaojin Tang  val isMove = Bool()
380a8db15d8Sfdy  val isVset = Bool()
381a8db15d8Sfdy  val vtype = new VType
3825844fcf0SLinJiawei
3839ecac1e8SYinan Xu  // these should be optimized for synthesis verilog
3849ecac1e8SYinan Xu  val pc = UInt(VAddrBits.W)
385fe6452fcSYinan Xu}
3865844fcf0SLinJiawei
3879aca92b9SYinan Xuclass RobCommitIO(implicit p: Parameters) extends XSBundle {
388ccfddc82SHaojin Tang  val isCommit = Bool()
389ccfddc82SHaojin Tang  val commitValid = Vec(CommitWidth, Bool())
3906474c47fSYinan Xu
391ccfddc82SHaojin Tang  val isWalk = Bool()
392c51eab43SYinan Xu  // valid bits optimized for walk
393ccfddc82SHaojin Tang  val walkValid = Vec(CommitWidth, Bool())
3946474c47fSYinan Xu
395ccfddc82SHaojin Tang  val info = Vec(CommitWidth, new RobCommitInfo)
39621e7a6c5SYinan Xu
3976474c47fSYinan Xu  def hasWalkInstr: Bool = isWalk && walkValid.asUInt.orR
3986474c47fSYinan Xu  def hasCommitInstr: Bool = isCommit && commitValid.asUInt.orR
3995844fcf0SLinJiawei}
4005844fcf0SLinJiawei
4011b7adedcSWilliam Wangclass RSFeedback(implicit p: Parameters) extends XSBundle {
402730cfbc0SXuan Hu  val rsIdx = UInt(log2Up(IQSizeMax).W)
403037a131fSWilliam Wang  val hit = Bool()
40462f57a35SLemover  val flushState = Bool()
4051b7adedcSWilliam Wang  val sourceType = RSFeedbackType()
406c7160cd3SWilliam Wang  val dataInvalidSqIdx = new SqPtr
407037a131fSWilliam Wang}
408037a131fSWilliam Wang
409d87b76aaSWilliam Wangclass MemRSFeedbackIO(implicit p: Parameters) extends XSBundle {
410d87b76aaSWilliam Wang  // Note: you need to update in implicit Parameters p before imp MemRSFeedbackIO
411d87b76aaSWilliam Wang  // for instance: MemRSFeedbackIO()(updateP)
412d87b76aaSWilliam Wang  val feedbackSlow = ValidIO(new RSFeedback()) // dcache miss queue full, dtlb miss
413d87b76aaSWilliam Wang  val feedbackFast = ValidIO(new RSFeedback()) // bank conflict
414d87b76aaSWilliam Wang}
415d87b76aaSWilliam Wang
416f06ca0bfSLingrui98class FrontendToCtrlIO(implicit p: Parameters) extends XSBundle {
4175844fcf0SLinJiawei  // to backend end
4185844fcf0SLinJiawei  val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow))
419f06ca0bfSLingrui98  val fromFtq = new FtqToCtrlIO
4205844fcf0SLinJiawei  // from backend
421f06ca0bfSLingrui98  val toFtq = Flipped(new CtrlToFtqIO)
4221e3fad10SLinJiawei}
423fcff7e94SZhangZifei
424f1fe8698SLemoverclass SatpStruct(implicit p: Parameters) extends XSBundle {
42545f497a4Shappy-lx  val mode = UInt(4.W)
42645f497a4Shappy-lx  val asid = UInt(16.W)
42745f497a4Shappy-lx  val ppn  = UInt(44.W)
42845f497a4Shappy-lx}
42945f497a4Shappy-lx
430f1fe8698SLemoverclass TlbSatpBundle(implicit p: Parameters) extends SatpStruct {
43145f497a4Shappy-lx  val changed = Bool()
43245f497a4Shappy-lx
43345f497a4Shappy-lx  def apply(satp_value: UInt): Unit = {
43445f497a4Shappy-lx    require(satp_value.getWidth == XLEN)
43545f497a4Shappy-lx    val sa = satp_value.asTypeOf(new SatpStruct)
43645f497a4Shappy-lx    mode := sa.mode
43745f497a4Shappy-lx    asid := sa.asid
438f1fe8698SLemover    ppn := Cat(0.U(44-PAddrBits), sa.ppn(PAddrBits-1, 0)).asUInt()
43945f497a4Shappy-lx    changed := DataChanged(sa.asid) // when ppn is changed, software need do the flush
44045f497a4Shappy-lx  }
441fcff7e94SZhangZifei}
442f1fe8698SLemover
443f1fe8698SLemoverclass TlbCsrBundle(implicit p: Parameters) extends XSBundle {
444f1fe8698SLemover  val satp = new TlbSatpBundle()
445fcff7e94SZhangZifei  val priv = new Bundle {
446fcff7e94SZhangZifei    val mxr = Bool()
447fcff7e94SZhangZifei    val sum = Bool()
448fcff7e94SZhangZifei    val imode = UInt(2.W)
449fcff7e94SZhangZifei    val dmode = UInt(2.W)
450fcff7e94SZhangZifei  }
4518fc4e859SZhangZifei
4528fc4e859SZhangZifei  override def toPrintable: Printable = {
4538fc4e859SZhangZifei    p"Satp mode:0x${Hexadecimal(satp.mode)} asid:0x${Hexadecimal(satp.asid)} ppn:0x${Hexadecimal(satp.ppn)} " +
4548fc4e859SZhangZifei      p"Priv mxr:${priv.mxr} sum:${priv.sum} imode:${priv.imode} dmode:${priv.dmode}"
4558fc4e859SZhangZifei  }
456fcff7e94SZhangZifei}
457fcff7e94SZhangZifei
4582225d46eSJiawei Linclass SfenceBundle(implicit p: Parameters) extends XSBundle {
459fcff7e94SZhangZifei  val valid = Bool()
460fcff7e94SZhangZifei  val bits = new Bundle {
461fcff7e94SZhangZifei    val rs1 = Bool()
462fcff7e94SZhangZifei    val rs2 = Bool()
463fcff7e94SZhangZifei    val addr = UInt(VAddrBits.W)
46445f497a4Shappy-lx    val asid = UInt(AsidLength.W)
465f1fe8698SLemover    val flushPipe = Bool()
466fcff7e94SZhangZifei  }
4678fc4e859SZhangZifei
4688fc4e859SZhangZifei  override def toPrintable: Printable = {
469f1fe8698SLemover    p"valid:0x${Hexadecimal(valid)} rs1:${bits.rs1} rs2:${bits.rs2} addr:${Hexadecimal(bits.addr)}, flushPipe:${bits.flushPipe}"
4708fc4e859SZhangZifei  }
471fcff7e94SZhangZifei}
472a165bd69Swangkaifan
473de169c67SWilliam Wang// Bundle for load violation predictor updating
474de169c67SWilliam Wangclass MemPredUpdateReq(implicit p: Parameters) extends XSBundle  {
4752b8b2e7aSWilliam Wang  val valid = Bool()
476de169c67SWilliam Wang
477de169c67SWilliam Wang  // wait table update
478de169c67SWilliam Wang  val waddr = UInt(MemPredPCWidth.W)
4792b8b2e7aSWilliam Wang  val wdata = Bool() // true.B by default
480de169c67SWilliam Wang
481de169c67SWilliam Wang  // store set update
482de169c67SWilliam Wang  // by default, ldpc/stpc should be xor folded
483de169c67SWilliam Wang  val ldpc = UInt(MemPredPCWidth.W)
484de169c67SWilliam Wang  val stpc = UInt(MemPredPCWidth.W)
4852b8b2e7aSWilliam Wang}
4862b8b2e7aSWilliam Wang
4872225d46eSJiawei Linclass CustomCSRCtrlIO(implicit p: Parameters) extends XSBundle {
4882b8b2e7aSWilliam Wang  // Prefetcher
489ecccf78fSJay  val l1I_pf_enable = Output(Bool())
4902b8b2e7aSWilliam Wang  val l2_pf_enable = Output(Bool())
49185de5caeSLinJiawei  val l1D_pf_enable = Output(Bool())
49285de5caeSLinJiawei  val l1D_pf_train_on_hit = Output(Bool())
49385de5caeSLinJiawei  val l1D_pf_enable_agt = Output(Bool())
49485de5caeSLinJiawei  val l1D_pf_enable_pht = Output(Bool())
4955d13017eSLinJiawei  val l1D_pf_active_threshold = Output(UInt(4.W))
4965d13017eSLinJiawei  val l1D_pf_active_stride = Output(UInt(6.W))
497edbf1204SLinJiawei  val l1D_pf_enable_stride = Output(Bool())
498f1d78cf7SLinJiawei  val l2_pf_store_only = Output(Bool())
499ecccf78fSJay  // ICache
500ecccf78fSJay  val icache_parity_enable = Output(Bool())
501f3f22d72SYinan Xu  // Labeled XiangShan
5022b8b2e7aSWilliam Wang  val dsid = Output(UInt(8.W)) // TODO: DsidWidth as parameter
503f3f22d72SYinan Xu  // Load violation predictor
5042b8b2e7aSWilliam Wang  val lvpred_disable = Output(Bool())
5052b8b2e7aSWilliam Wang  val no_spec_load = Output(Bool())
506c7160cd3SWilliam Wang  val storeset_wait_store = Output(Bool())
507c7160cd3SWilliam Wang  val storeset_no_fast_wakeup = Output(Bool())
508c7160cd3SWilliam Wang  val lvpred_timeout = Output(UInt(5.W))
509f3f22d72SYinan Xu  // Branch predictor
5102b8b2e7aSWilliam Wang  val bp_ctrl = Output(new BPUCtrl)
511f3f22d72SYinan Xu  // Memory Block
512f3f22d72SYinan Xu  val sbuffer_threshold = Output(UInt(4.W))
513d10a581eSWilliam Wang  val ldld_vio_check_enable = Output(Bool())
514d10a581eSWilliam Wang  val soft_prefetch_enable = Output(Bool())
515a4e57ea3SLi Qianruo  val cache_error_enable = Output(Bool())
51637225120Ssfencevma  val uncache_write_outstanding_enable = Output(Bool())
517aac4464eSYinan Xu  // Rename
5185b47c58cSYinan Xu  val fusion_enable = Output(Bool())
5195b47c58cSYinan Xu  val wfi_enable = Output(Bool())
520af2f7849Shappy-lx  // Decode
521af2f7849Shappy-lx  val svinval_enable = Output(Bool())
522af2f7849Shappy-lx
523b6982e83SLemover  // distribute csr write signal
524b6982e83SLemover  val distribute_csr = new DistributedCSRIO()
52572951335SLi Qianruo
526ddb65c47SLi Qianruo  val singlestep = Output(Bool())
52772951335SLi Qianruo  val frontend_trigger = new FrontendTdataDistributeIO()
52872951335SLi Qianruo  val mem_trigger = new MemTdataDistributeIO()
52972951335SLi Qianruo  val trigger_enable = Output(Vec(10, Bool()))
530b6982e83SLemover}
531b6982e83SLemover
532b6982e83SLemoverclass DistributedCSRIO(implicit p: Parameters) extends XSBundle {
5331c746d3aScui fliter  // CSR has been written by csr inst, copies of csr should be updated
534b6982e83SLemover  val w = ValidIO(new Bundle {
535b6982e83SLemover    val addr = Output(UInt(12.W))
536b6982e83SLemover    val data = Output(UInt(XLEN.W))
537b6982e83SLemover  })
5382b8b2e7aSWilliam Wang}
539e19f7967SWilliam Wang
540e19f7967SWilliam Wangclass DistributedCSRUpdateReq(implicit p: Parameters) extends XSBundle {
541e19f7967SWilliam Wang  // Request csr to be updated
542e19f7967SWilliam Wang  //
543e19f7967SWilliam Wang  // Note that this request will ONLY update CSR Module it self,
544e19f7967SWilliam Wang  // copies of csr will NOT be updated, use it with care!
545e19f7967SWilliam Wang  //
546e19f7967SWilliam Wang  // For each cycle, no more than 1 DistributedCSRUpdateReq is valid
547e19f7967SWilliam Wang  val w = ValidIO(new Bundle {
548e19f7967SWilliam Wang    val addr = Output(UInt(12.W))
549e19f7967SWilliam Wang    val data = Output(UInt(XLEN.W))
550e19f7967SWilliam Wang  })
551e19f7967SWilliam Wang  def apply(valid: Bool, addr: UInt, data: UInt, src_description: String) = {
552e19f7967SWilliam Wang    when(valid){
553e19f7967SWilliam Wang      w.bits.addr := addr
554e19f7967SWilliam Wang      w.bits.data := data
555e19f7967SWilliam Wang    }
556e19f7967SWilliam Wang    println("Distributed CSR update req registered for " + src_description)
557e19f7967SWilliam Wang  }
558e19f7967SWilliam Wang}
55972951335SLi Qianruo
5600f59c834SWilliam Wangclass L1CacheErrorInfo(implicit p: Parameters) extends XSBundle {
5610f59c834SWilliam Wang  // L1CacheErrorInfo is also used to encode customized CACHE_ERROR CSR
5620f59c834SWilliam Wang  val source = Output(new Bundle() {
5630f59c834SWilliam Wang    val tag = Bool() // l1 tag array
5640f59c834SWilliam Wang    val data = Bool() // l1 data array
5650f59c834SWilliam Wang    val l2 = Bool()
5660f59c834SWilliam Wang  })
5670f59c834SWilliam Wang  val opType = Output(new Bundle() {
5680f59c834SWilliam Wang    val fetch = Bool()
5690f59c834SWilliam Wang    val load = Bool()
5700f59c834SWilliam Wang    val store = Bool()
5710f59c834SWilliam Wang    val probe = Bool()
5720f59c834SWilliam Wang    val release = Bool()
5730f59c834SWilliam Wang    val atom = Bool()
5740f59c834SWilliam Wang  })
5750f59c834SWilliam Wang  val paddr = Output(UInt(PAddrBits.W))
5760f59c834SWilliam Wang
5770f59c834SWilliam Wang  // report error and paddr to beu
5780f59c834SWilliam Wang  // bus error unit will receive error info iff ecc_error.valid
5790f59c834SWilliam Wang  val report_to_beu = Output(Bool())
5800f59c834SWilliam Wang
5810f59c834SWilliam Wang  // there is an valid error
5820f59c834SWilliam Wang  // l1 cache error will always be report to CACHE_ERROR csr
5830f59c834SWilliam Wang  val valid = Output(Bool())
5840f59c834SWilliam Wang
5850f59c834SWilliam Wang  def toL1BusErrorUnitInfo(): L1BusErrorUnitInfo = {
5860f59c834SWilliam Wang    val beu_info = Wire(new L1BusErrorUnitInfo)
5870f59c834SWilliam Wang    beu_info.ecc_error.valid := report_to_beu
5880f59c834SWilliam Wang    beu_info.ecc_error.bits := paddr
5890f59c834SWilliam Wang    beu_info
5900f59c834SWilliam Wang  }
5910f59c834SWilliam Wang}
592bc63e578SLi Qianruo
593bc63e578SLi Qianruo/* TODO how to trigger on next inst?
594bc63e578SLi Qianruo1. If hit is determined at frontend, then set a "next instr" trap at dispatch like singlestep
595bc63e578SLi Qianruo2. If it is determined at Load(meaning it must be "hit after", then it must not be a jump. So we can let it commit and set
596bc63e578SLi Qianruoxret csr to pc + 4/ + 2
597bc63e578SLi Qianruo2.5 The problem is to let it commit. This is the real TODO
598bc63e578SLi Qianruo3. If it is load and hit before just treat it as regular load exception
599bc63e578SLi Qianruo */
600bc63e578SLi Qianruo
601bc63e578SLi Qianruo// This bundle carries trigger hit info along the pipeline
602bc63e578SLi Qianruo// Now there are 10 triggers divided into 5 groups of 2
603bc63e578SLi Qianruo// These groups are
604bc63e578SLi Qianruo// (if if) (store store) (load loid) (if store) (if load)
605bc63e578SLi Qianruo
606bc63e578SLi Qianruo// Triggers in the same group can chain, meaning that they only
607bc63e578SLi Qianruo// fire is both triggers in the group matches (the triggerHitVec bit is asserted)
608bc63e578SLi Qianruo// Chaining of trigger No. (2i) and (2i+1) is indicated by triggerChainVec(i)
609bc63e578SLi Qianruo// Timing of 0 means trap at current inst, 1 means trap at next inst
610bc63e578SLi Qianruo// Chaining and timing and the validness of a trigger is controlled by csr
611bc63e578SLi Qianruo// In two chained triggers, if they have different timing, both won't fire
61284e47f35SLi Qianruo//class TriggerCf (implicit p: Parameters) extends XSBundle {
61384e47f35SLi Qianruo//  val triggerHitVec = Vec(10, Bool())
61484e47f35SLi Qianruo//  val triggerTiming = Vec(10, Bool())
61584e47f35SLi Qianruo//  val triggerChainVec = Vec(5, Bool())
61684e47f35SLi Qianruo//}
61784e47f35SLi Qianruo
61872951335SLi Qianruoclass TriggerCf(implicit p: Parameters) extends XSBundle {
61984e47f35SLi Qianruo  // frontend
62084e47f35SLi Qianruo  val frontendHit = Vec(4, Bool())
621ddb65c47SLi Qianruo//  val frontendTiming = Vec(4, Bool())
622ddb65c47SLi Qianruo//  val frontendHitNext = Vec(4, Bool())
62384e47f35SLi Qianruo
624ddb65c47SLi Qianruo//  val frontendException = Bool()
62584e47f35SLi Qianruo  // backend
62684e47f35SLi Qianruo  val backendEn = Vec(2, Bool()) // Hit(6) && chain(4) , Hit(8) && chain(4)
62784e47f35SLi Qianruo  val backendHit = Vec(6, Bool())
628ddb65c47SLi Qianruo//  val backendTiming = Vec(6, Bool()) // trigger enable fro chain
62984e47f35SLi Qianruo
63084e47f35SLi Qianruo  // Two situations not allowed:
63184e47f35SLi Qianruo  // 1. load data comparison
63284e47f35SLi Qianruo  // 2. store chaining with store
63384e47f35SLi Qianruo  def getHitFrontend = frontendHit.reduce(_ || _)
63484e47f35SLi Qianruo  def getHitBackend = backendHit.reduce(_ || _)
635ddb65c47SLi Qianruo  def hit = getHitFrontend || getHitBackend
636d7dd1af1SLi Qianruo  def clear(): Unit = {
637d7dd1af1SLi Qianruo    frontendHit.foreach(_ := false.B)
638d7dd1af1SLi Qianruo    backendEn.foreach(_ := false.B)
639d7dd1af1SLi Qianruo    backendHit.foreach(_ := false.B)
640d7dd1af1SLi Qianruo  }
64172951335SLi Qianruo}
64272951335SLi Qianruo
643bc63e578SLi Qianruo// these 3 bundles help distribute trigger control signals from CSR
644bc63e578SLi Qianruo// to Frontend, Load and Store.
64572951335SLi Qianruoclass FrontendTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
64672951335SLi Qianruo    val t = Valid(new Bundle {
64772951335SLi Qianruo      val addr = Output(UInt(2.W))
64872951335SLi Qianruo      val tdata = new MatchTriggerIO
64972951335SLi Qianruo    })
65072951335SLi Qianruo  }
65172951335SLi Qianruo
65272951335SLi Qianruoclass MemTdataDistributeIO(implicit p: Parameters)  extends XSBundle {
65372951335SLi Qianruo  val t = Valid(new Bundle {
65472951335SLi Qianruo    val addr = Output(UInt(3.W))
65572951335SLi Qianruo    val tdata = new MatchTriggerIO
65672951335SLi Qianruo  })
65772951335SLi Qianruo}
65872951335SLi Qianruo
65972951335SLi Qianruoclass MatchTriggerIO(implicit p: Parameters) extends XSBundle {
66072951335SLi Qianruo  val matchType = Output(UInt(2.W))
66172951335SLi Qianruo  val select = Output(Bool())
66272951335SLi Qianruo  val timing = Output(Bool())
66372951335SLi Qianruo  val action = Output(Bool())
66472951335SLi Qianruo  val chain = Output(Bool())
66572951335SLi Qianruo  val tdata2 = Output(UInt(64.W))
66672951335SLi Qianruo}
667