Home
last modified time | relevance | path

Searched defs:resp (Results 1 – 25 of 48) sorted by relevance

12

/XiangShan/src/main/scala/device/TLPMA/
H A DTLPMA.scala16 val resp = Vec(mmpma.num, new PMPRespBundle()) constant
31 val resp = io.resp constant
/XiangShan/src/main/scala/xiangshan/cache/dcache/meta/
H A DTagArray.scala60 val resp = Output(Vec(DCacheWayDiv, UInt(encTagBits.W))) constant
103 val resp = Output(Vec(nWays, UInt(encTagBits.W))) constant
123 val resp = Output(Vec(readPorts, Vec(nWays, UInt(encTagBits.W)))) constant
H A DAsynchronousMetaArray.scala54 val resp = Output(Vec(readPorts, Vec(nWays, new Meta))) constant
113 val resp = Output(Vec(readPorts, Vec(nWays, Bool()))) constant
174 val resp = Output(Vec(readPorts, Vec(nWays, UInt(L1PfSourceBits.W)))) constant
H A DLegacyMetaArray.scala62 val resp = Output(Vec(nWays, UInt(encMetaBits.W))) constant
135 val resp = Output(Vec(numReadPorts, Vec(nWays, UInt(encMetaBits.W)))) constant
/XiangShan/src/main/scala/xiangshan/cache/mmu/
H A DPageTableWalker.scala58 val resp = DecoupledIO(new Bundle { constant
61 val resp = new PtwMergeResp constant
75 val resp = Flipped(Valid(new Bundle { constant
81 val resp = Flipped(ValidIO(UInt(XLEN.W))) constant
86 val resp = Flipped(new PMPRespBundle()) constant
95 val resp = Flipped(DecoupledIO(new bitmapRespBundle())) constant
657 val resp = Flipped(Valid(new Bundle { constant
670 val resp = Flipped(new PMPRespBundle()) constant
678 val resp = Flipped(Valid(new Bundle { constant
685 val resp = Flipped(DecoupledIO(new bitmapRespBundle())) constant
[all …]
H A DBitmapCheck.scala62 val resp = Flipped(DecoupledIO(new Bundle { constant
69 val resp = DecoupledIO(new bitmapRespBundle()) constant
73 val resp = Flipped(new PMPRespBundle()) constant
88 val resp = Flipped(DecoupledIO(new bitmapCacheRespBundle())) constant
339 val resp = DecoupledIO(new bitmapCacheRespBundle()) constant
H A DTLBStorage.scala106 val resp = io.r.resp(i) constant
285 val resp = io.r.resp(i) constant
H A DMMUBundle.scala158 val resp = Output(Vec(readWidth, Vec(set, Bool()))) constant
419 val resp = Vec(ports, ValidIO(new Bundle{ constant
460 val resp = Vec(ports, ValidIO(new Bundle{ constant
589 val resp = Flipped(DecoupledIO(new TlbResp(nRespDups))) constant
594 val resp = Flipped(DecoupledIO(new PtwRespS2)) constant
604 val resp = Flipped(DecoupledIO(new PtwRespS2withMemIdx())) constant
629 val resp = ValidIO(new TLBHintResp) constant
671 val resp = Flipped(DecoupledIO(new Bundle { constant
H A DRepeater.scala60 val resp = RegEnable(ptw.resp.bits, ptw.resp.fire) constant
114 val resp = RegEnable(ptw.resp.bits, ptw.resp.fire) constant
/XiangShan/src/main/scala/utils/
H A DAXI4Lite.scala39 val resp = UInt(2.W) constant
44 val resp = UInt(2.W) constant
/XiangShan/src/main/scala/xiangshan/frontend/icache/
H A DInstrUncache.scala49 val resp: DecoupledIO[InsUncacheResp] = DecoupledIO(new InsUncacheResp) constant
148 val resp: DecoupledIO[InsUncacheResp] = DecoupledIO(new InsUncacheResp) constant
H A DICacheMainPipe.scala53 val resp: Valid[ICacheMainPipeResp] = ValidIO(new ICacheMainPipeResp) constant
70 val resp: Valid[ICacheMissResp] = Flipped(ValidIO(new ICacheMissResp)) constant
75 val resp: PMPRespBundle = Input(new PMPRespBundle()) constant
H A DICacheMissUnit.scala107 val resp: Valid[MSHRResp] = ValidIO(new MSHRResp) constant
/XiangShan/src/main/scala/xiangshan/cache/wpu/
H A DWPUWrapper.scala63 val resp = Vec(nPorts, ValidIO(new WPUResp(nWays))) constant
73 val resp = Vec(nPorts, ValidIO(new WPUResp(nWays))) constant
240 val resp = Output(new Bundle{ constant
/XiangShan/src/main/scala/xiangshan/backend/fu/
H A DPMP.scala406 val resp = Wire(new PMPRespBundle) constant
485 val resp = new PMPRespBundle() constant
514 val resp = Output(new PMPConfig()) constant
576 val resp = Wire(new PMPRespBundle) constant
592 val resp = if (pmpUsed) (resp_pmp | resp_pma | resp_keyid) else (resp_pma | resp_keyid) constant
619 val resp = and(res_pmp, res_pma) constant
H A DPMA.scala212 val resp = Wire(new PMPRespBundle) constant
/XiangShan/src/main/scala/xiangshan/cache/dcache/data/
H A DAbstractDataArray.scala47 val resp = Output(Vec(3, Vec(blockRows, Bits(encRowBits.W)))) constant
/XiangShan/src/main/scala/xiangshan/mem/prefetch/
H A DFDP.scala52 val resp = Input(Bool()) constant
175 val resp = Vec(LoadPipelineWidth, ValidIO(new BloomRespBundle)) constant
/XiangShan/src/main/scala/xiangshan/cache/dcache/storepipe/
H A DStorePipe.scala43 val resp = Flipped(DecoupledIO(new DCacheBundle() { constant
/XiangShan/src/main/scala/xiangshan/backend/rename/
H A DBusyTable.scala32 val resp = Output(Bool()) constant
/XiangShan/src/main/scala/xiangshan/frontend/
H A DFauFTB.scala46 val resp = Output(new FauFTBEntry) constant
/XiangShan/src/main/scala/device/
H A DMemEncryptUtil.scala240 val resp = UInt(params.respBits.W) constant
379 val resp = DecoupledIO(new Bundle { constant
571 val resp = DecoupledIO(new Bundle { constant
/XiangShan/src/main/scala/xiangshan/mem/vector/
H A DVecBundle.scala192 val resp = Flipped(ValidIO(new MergeBufferResp(isVStore))) constant
197 val resp = ValidIO(new MergeBufferResp(isVStore)) constant
/XiangShan/src/main/scala/xiangshan/mem/lsqueue/
H A DLoadMisalignBuffer.scala510 val resp = io.splitLoadResp.bits constant
/XiangShan/src/main/scala/xiangshan/cache/dcache/loadpipe/
H A DLoadPipe.scala106 val resp = Flipped(ValidIO(new BloomRespBundle())) constant
437 val resp = Wire(ValidIO(new DCacheWordResp)) constant

12